DE102018122524A1 - Struktur und Verfahren zum Freilegen von Speicherzellen mit unterschiedlichen Größen - Google Patents

Struktur und Verfahren zum Freilegen von Speicherzellen mit unterschiedlichen Größen Download PDF

Info

Publication number
DE102018122524A1
DE102018122524A1 DE102018122524.5A DE102018122524A DE102018122524A1 DE 102018122524 A1 DE102018122524 A1 DE 102018122524A1 DE 102018122524 A DE102018122524 A DE 102018122524A DE 102018122524 A1 DE102018122524 A1 DE 102018122524A1
Authority
DE
Germany
Prior art keywords
layer
etch stop
memory cell
stop layer
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102018122524.5A
Other languages
English (en)
Inventor
Sheng-Chau Chen
Cheng-Tai Hsiao
Cheng-Yuan Tsai
Hsun-Chung KUANG
Yao-Wen Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018122524A1 publication Critical patent/DE102018122524A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Geometry (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)

Abstract

Es ist eine Speicherzelle mit einer Ätzstoppschicht vorgesehen. Die Speicherzelle umfasst eine untere Elektrode, die über einem Substrat angeordnet ist. Ein Schaltdielektrikum ist über der unteren Elektrode angeordnet und hat einen variablen Widerstand. Eine obere Elektrode ist über dem Schaltdielektrikum angeordnet. Eine Seitenwandabstandshalterschicht erstreckt sich entlang Seitenwänden der unteren Elektrode, des Schaltdielektrikums und der oberen Elektrode nach oben. Eine untere Ätzstoppschicht ist über der unteren dielektrischen Schicht angeordnet und kleidet eine äußere Seitenwand der Seitenwandabstandshalterschicht aus. Die untere Ätzstoppschicht besteht aus einem Material, das sich von dem der Seitenwandabstandshalterschicht unterscheidet, und schützt die obere Elektrode vor Beschädigung während der Herstellungsprozesse. Ein Verfahren zum Herstellen der Speicherzelle ist ebenfalls vorgesehen.

Description

  • VERWEIS AUF VERWANDTE ANMELDUNG
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung mit der Nummer 62/564 360, eingereicht am Donnerstag, 28. September 2017, deren Inhalt hiermit durch Bezugnahme in seiner Gesamtheit aufgenommen wird.
  • HINTERGRUND
  • Viele moderne elektronische Geräte enthalten nichtflüchtigen Speicher, der in der Lage ist, Daten ohne Stromversorgung zu speichern. Nichtflüchtige Speicher wie magnetoresistive Direktzugriffsspeicher (MRAM) und resistive Direktzugriffsspeicher (RRAM) sind aufgrund ihrer relativ einfachen Strukturen und ihrer Kompatibilität mit komplementären Metalloxid-Halbleiter- (CMOS) -Logikfertigungsprozessen vielversprechende Kandidaten für nichtflüchtige Speichertechnologie der nächsten Generation.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Man beachte, dass gemäß dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.
    • 1A zeigt eine Querschnittsansicht einiger Ausführungsformen einer Speichervorrichtung mit einer Ätzstoppschicht.
    • 1B zeigt eine Querschnittsansicht einiger alternativer Ausführungsformen einer Speichervorrichtung mit einer Ätzstoppschicht.
    • 2 zeigt eine Querschnittsansicht einiger Ausfiihrungsformen einer integrierten Schaltung mit der Speichervorrichtung von 1A oder 1B.
    • Die 3 - 7 zeigen eine Reihe von Querschnittsansichten einiger Ausführungsformen einer Speichervorrichtung in verschiedenen Herstellungsstadien.
    • 8 zeigt ein Flussdiagramm einiger Ausführungsformen eines Verfahrens zum Herstellen einer Speichervorrichtung.
    • Die 9 - 13 zeigen eine Reihe von Querschnittsansichten einiger alternativer Ausfiihrungsformen einer Speichervorrichtung in verschiedenen Herstellungsstadien.
    • 14 zeigt ein Flussdiagramm einiger alternativer Ausfiihrungsformen eines Verfahrens zum Herstellen einer Speichervorrichtung.
  • DETAILLIERTE BESCHREIBUNG
  • Die vorliegende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele zum Implementieren unterschiedlicher Merkmale dieser Offenbarung vor. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Beispielsweise kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten Merkmal und dem zweiten Merkmal ausgebildet sein können, so dass das erste und das zweite Merkmal nicht in direktem Kontakt stehen müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „oberer“, „unterster“, „unter“, „darunter“ „unterer“, „über“, „oberer“ und ähnliche, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Merkmals oder einer Vorrichtung mit einem oder mehreren anderen Merkmalen oder Vorrichtungen zu beschreiben, wie in den Figuren gezeigt ist. Die räumlich relativen Begriffe sollen verschiedene Ausrichtungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Ausrichtung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Ausrichtung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.
  • Darüber hinaus können „erster“, „zweiter“, „dritter“ usw. hierin zur Vereinfachung der Beschreibung verwendet werden, um zwischen verschiedenen Elementen einer Figur oder einer Reihe von Figuren zu unterscheiden. „Erster“, „zweiter“, „dritter“ usw. sollen das entsprechende Element nicht beschreiben. Daher muss „eine erste dielektrische Schicht“, die in Verbindung mit einer ersten Figur beschrieben wurde, nicht notwendigerweise einer „ersten dielektrischen Schicht“ entsprechen, die in Verbindung mit einer anderen Figur beschrieben wurde.
  • Eine nichtflüchtige Speichervorrichtung umfasst einen Speicherzellenarray mit einer Mehrzahl von Speicherzellen. Jede der Speicherzellen umfasst eine obere Elektrode und eine untere Elektrode, die durch ein Schaltdielektrikum getrennt sind. Abhängig von einer Spannung, die an das Elektrodenpaar angelegt wird, erfährt das Schaltdielektrikum eine reversible Änderung zwischen einem Zustand hohen Widerstands, der einem ersten Datenzustand (z. B. „o“ oder „RESET“) zugeordnet ist, und einem Zustand niedrigen Widerstands, der einem zweiten Datenzustand (z. B. „1“ oder „SET“) zugeordnet ist. Die Speicherzelle ist kompatibel mit komplementären Metalloxid-Halbleiter- (CMOS) -Logikfertigungsprozessen und kann zwischen einer unteren Metallisierungsleitung und einer oberen Metallisierungsleitung zur Datenspeicherung und - übertragung eingefügt sein. In einigen Anwendungen kann die Speichervorrichtung Speicherzellen mit unterschiedlichen Größen umfassen. Die Speicherzellen können unterschiedliche seitliche Abmessungen sowie unterschiedliche vertikale Dicken aufweisen. Somit kann eine Höhe von der unteren Metallisierungsleitung zu einer oberen Elektrode einer Speicherzelle abhängig von der Speicherzellengröße variieren. Die Variation der vertikalen Höhen macht es zu einer Herausforderung, die oberen Elektroden von Speicherzellen mit unterschiedlichen Größen während der Herstellung freizulegen: Eine obere Elektrode einer kleineren Speicherzelle kann möglicherweise nicht gut freigelegt werden, bevor eine andere obere Elektrode einer größeren Speicherzelle beschädigt wird.
  • Die vorliegende Anmeldung betrifft eine verbesserte Speichervorrichtung mit einer Ätzstoppschicht, die eine Speicherzelle auskleidet, und zugehörige Herstellungsverfahren. In einigen Ausführungsformen, beispielsweise unter Bezugnahme auf 1A oder 1B, umfasst eine Speichervorrichtung eine erste Speicherzelle 114a und eine zweite Speicherzelle 114b, die über einem Substrat 102 angeordnet sind. Jede der Speicherzellen 114a, 114b umfasst eine untere Elektrode 112, ein Schaltdielektrikum 116, das über der unteren Elektrode 112 angeordnet ist und einen variablen Widerstand aufweist, und eine obere Elektrode 118, die über dem Schaltdielektrikum 116 angeordnet ist. Die untere Elektrode 112 ist mit einer unteren Elektroden-Durchkontaktierung 110 verbunden, die von einer unteren dielektrischen Schicht 108 umgeben ist. Eine Seitenwandabstandshalterschicht 126 ist über der unteren Elektroden-Durchkontaktierung 110 und der unteren dielektrischen Schicht 108 angeordnet und erstreckt sich entlang Seitenwänden der unteren Elektrode 112, des Schaltdielektrikums 116 und der oberen Elektrode 118 nach oben. Eine untere Ätzstoppschicht 120 ist über der unteren dielektrischen Schicht 108 angeordnet und kleidet eine äußere Seitenwand der Seitenwandabstandshalterschicht 126 aus. Eine obere dielektrische Schicht 136 ist auf der unteren Ätzstoppschicht 120 angeordnet und umgibt einen oberen Abschnitt der Seitenwandabstandshalterschicht 126. Die untere Ätzstoppschicht 120 besteht aus einem Dielektrikum, das die Speicherzelle 114a, 114b während Polier- und Ätzprozessen für das Freilegen der oberen Elektrode schützen kann. Zum Beispiel können die untere Ätzstoppschicht 120 und die obere dielektrische Schicht 136 über der Speicherzelle 114a, 114b (siehe beispielsweise 4) ausgebildet werden und dann einem Rückätzprozess ausgesetzt werden, um die obere Elektrode 118 freizulegen (siehe beispielsweise 6). Die untere Ätzstoppschicht 120 kann ein Dielektrikum umfassen, das eine andere Ätzselektivität als die obere dielektrische Schicht 136 in Bezug auf das Ätzmittel des Rückätzprozesses aufweist, so dass die untere Ätzstoppschicht 120 erhalten bleiben kann und die obere Elektrode vor Beschädigung schützt. Dadurch können die Polier- und Ätzprozesse besser gesteuert werden und die obere Elektrode 118 der Speicherzelle 114a, 114b kann nach einer präziser gesteuerten Entfernung der unteren Ätzstoppschicht 120 gut freigelegt werden.
  • Die untere Elektrode 112 der Speicherzelle 114a, 114b besteht aus einem leitfähigen Material wie etwa Titannitrid. Die untere Elektrode 112 kann beispielsweise auch Titan, Tantal, Tantalnitrid, Platin, Iridium, Wolfram, Ruthenium oder dergleichen umfassen. In einigen Ausführungsformen ist die Speicherzelle 114a, 114b eine magnetoresistive Direktzugriffsspeicher- (MRAM) -Zelle und das Widerstands-Schaltdielektrikum 116 kann eine magnetische Tunnelübergangs- (MTJ) -Struktur mit einer unteren ferromagnetischen Schicht und einer oberen ferromagnetischen Schicht umfassen, die durch eine Tunnel-Sperrschicht getrennt sind. In einigen weiteren Ausführungsformen ist die Speicherzelle 114a, 114b eine resistive Direktzugriffsspeicher- (RRAM) -Zelle und das Widerstands-Schaltdielektrikum 116 kann eine RRAM-Dielektrikumsschicht umfassen. Das Schaltdielektrikum 116 kann eine Highk-Schicht (d. h. eine Schicht mit einer Dielektrizitätskonstante k von mehr als 3,9) sein, beispielsweise Tantaloxid, Tantal-Hafniumoxid, Tantal-Aluminiumoxid oder ein anderes Material, das Tantal, Sauerstoff und ein oder mehrere andere Elemente aufweist. Die untere Elektroden-Durchkontaktierung 110 ist aus einem leitfähigen Material wie Platin, Iridium, Ruthenium oder Wolfram hergestellt. Die untere dielektrische Schicht 108 umgibt die untere Elektroden-Durchkontaktierung 110 und umfasst eine oder mehrere Schichten von Dielektrika. Die untere dielektrische Schicht 108 kann Siliziumkarbid, Siliziumnitrid, Siliziumoxid oder eine oder mehrere Schichten aus dielektrischen Verbundfilmen umfassen. Zum Beispiel kann die untere dielektrische Schicht 108 eine siliziumreiche Oxidschicht 108b umfassen, die auf einer Siliziumkarbidschicht 108a angeordnet ist. Durch die untere Elektroden-Durchkontaktierung 110 kann die Speicherzelle 114a, 114b, die in den 1A oder 1B gezeigt ist, mit einer unteren Metallisierungsleitung 106 verbunden sein, die in einer unteren Zwischenschicht-Dielektrikumsschicht 104 angeordnet ist. Die untere Elektroden-Durchkontaktierung 110 kann auch als eine Diffusionsbarriereschicht dienen, um zu verhindern, dass das Material der unteren Metallisierungsleitungen 106 in die untere Elektrode 112 diffundiert. Die untere Metallisierungsleitung 106 kann beispielsweise aus einem Metall wie etwa Kupfer bestehen. Die untere Zwischenschicht-Dielektrikumsschicht 104 kann beispielsweise aus einem Oxid, einem Low-k-Dielektrikum (d. h. einem Dielektrikum mit einer niedrigeren Dielektrizitätskonstante k als Siliziumdioxid) oder einem Extreme-Low-k-Dielektrikum (einem Dielektrikum mit einer Dielektrizitätskonstante k von weniger als etwa 2) bestehen.
  • In einigen Ausführungsformen kann die obere Elektrode 118 eine oder mehrere Metall- oder Metallverbindungsschichten umfassen, die zum Beispiel Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen umfassen. In einigen Ausführungsformen ist die obere Elektrode 118 elektrisch mit der oberen Metallisierungsleitung 134 der oberen Verbindungsstruktur 142 über eine obere Elektroden-Durchkontaktierung 132 verbunden, die zwischen der oberen Elektrode 118 und der oberen Metallisierungsleitung 134 angeordnet ist. Die obere Elektroden-Durchkontaktierung 132 kann beispielsweise aus einem leitfähigen Material wie Kupfer, Aluminium oder Wolfram bestehen. Während des Betriebs der Speicherzelle 114a, 114b werden Spannungen zwischen der oberen Elektrode 118 und der unteren Elektrode 112 angelegt, um die Speicherzelle 114a, 114b zu lesen, zu setzen oder zu löschen, indem eine oder mehrere leitfähige Filamente des Schaltdielektrikums 116 gebildet oder gebrochen werden. Somit kann die Speicherzelle 114a, 114b einen variablen Widerstand in einem vergleichsweise niedrigen oder hohen Widerstandszustand haben, so dass sie zum Beispiel für einen niedrigen oder hohen Bitzustand steht.
  • Wie in 1A gezeigt, ist in einigen Ausführungsformen die Seitenwandabstandshalterschicht 126 direkt auf der unteren dielektrischen Schicht 108 angeordnet und berührt Seitenwände der unteren Elektrode 112, des Schaltdielektrikums 116 und der oberen Elektrode 118. Die Seitenwandabstandshalterschicht 126 schützt die untere Elektrode 112, das Schaltdielektrikum 116 und die obere Elektrode 118 vor Kurzschlüssen. In einigen Ausführungsformen ist die obere Fläche der Seitenwandabstandshalterschicht 126 niedriger als die der oberen Elektrode 118, so dass ein oberster Punkt der Seitenwandabstandshalterschicht 126 auf der Seitenwandoberfläche der oberen Elektrode 118 angeordnet ist. Die untere Ätzstoppschicht 120 ist neben der Seitenwandabstandshalterschicht 126 und direkt auf der unteren dielektrischen Schicht 108 angeordnet. In einigen Ausführungsformen erstreckt sich die untere Ätzstoppschicht 120 seitlich zwischen den Speicherzellen 114a, 114b und erstreckt sich so nach oben, dass sie Seitenwand- und obere Flächen der Seitenwandabstandshalterschicht 126 abdeckt. Die obere dielektrische Schicht 136 kann direkt auf der unteren Ätzstoppschicht 120 angeordnet sein. In einigen Ausführungsformen ist die Seitenwandabstandshalterschicht 126 von der oberen dielektrischen Schicht 136 durch die untere Ätzstoppschicht 120 getrennt. Die obere dielektrische Schicht 136 kann eine untere Fläche aufweisen, die von einer oberen Fläche der unteren dielektrischen Schicht 108 durch die untere Ätzstoppschicht 120 getrennt ist. Die untere Ätzstoppschicht 120 und die obere Elektrode 118 können obere Flächen aufweisen, die ausgerichtet oder koplanar sind und ferner koplanar mit einer oberen Fläche der oberen dielektrischen Schicht 136 sein können. Die obere Elektrode 118 kann mit einer oberen Metallisierungsleitung 134 durch eine obere Elektroden-Durchkontaktierung 132 verbunden sein. Die obere Metallisierungsleitung 134 und die obere Elektroden-Durchkontaktierung 132 sind von einer oberen Zwischenschicht-Dielektrikumsschicht 138 umgeben. In einigen Ausführungsformen sind die unteren Flächen der oberen Metallisierungsleitung 134 und der oberen Zwischenschicht-Dielektrikumsschicht 138 und die oberen Flächen der oberen Elektrode 118 und der unteren Ätzstoppschicht 120 koplanar. Die untere Ätzstoppschicht 120 besteht aus einem Dielektrikum, das sich von dem der Seitenwandabstandshalterschicht 126 unterscheidet. Die Seitenwandabstandshalterschicht 126 kann beispielsweise Siliziumnitrid umfassen. Die obere dielektrische Schicht 136 kann beispielsweise Siliziumdioxid umfassen. Die untere Ätzstoppschicht 120 kann aus Siliziumoxynitrid bestehen. Während des Herstellungsprozesses ist die untere Ätzstoppschicht 120 gegenüber dem Ätzmittel (im Vergleich zu der oberen dielektrischen Schicht 136 oder der Seitenwandabstandshalterschicht 126) widerstandsfähiger, wenn die obere Elektrode 118 freigelegt wird, wodurch der oberen Elektrode 118 zusätzlicher Halt und Schutz vor Beschädigung gegeben wird. Zum Beispiel kann die untere Ätzstoppschicht 120 eine Ätzrate für das Ätzmittel aufweisen, die etwa zehnmal kleiner als die der oberen dielektrischen Schicht 136 oder der Seitenwandabstandshalterschicht 126 ist.
  • Wie in 1B gezeigt, ist in einigen alternativen Ausführungsformen die Seitenwandabstandshalterschicht 126 direkt auf der unteren dielektrischen Schicht 108 angeordnet und erstreckt sich in einer seitlichen Richtung durchgehend zwischen den Speicherzellen 114a, 114b, während die Seitenwandabstandshalterschicht 126 in den in 1A gezeigten Ausführungsformen getrennte Abschnitte aufweist, die jeweils die Speicherzellen 114a, 114b umgeben. Die untere Ätzstoppschicht 120 ist direkt auf der Seitenwandabstandshalterschicht 126 angeordnet. Somit kann die Seitenwandabstandshalterschicht 126 die untere Ätzstoppschicht 120 von der unteren dielektrischen Schicht 108 trennen. Die Seitenwandabstandshalterschicht 126 erstreckt sich nach oben und berührt Seitenwände der unteren Elektrode 112, des Schaltdielektrikums 116 und der oberen Elektrode 118. In einigen Ausführungsformen ist die obere Fläche der Seitenwandabstandshalterschicht 126 koplanar mit oberen Flächen der oberen Elektrode 118 und der unteren Ätzstoppschicht 120. Die obere dielektrische Schicht 136 kann direkt auf der unteren Ätzstoppschicht 120 angeordnet sein und kann eine obere Fläche aufweisen, die koplanar mit der der unteren Ätzstoppschicht 120 ist. Ähnlich zu den in 1A gezeigten Ausführungsformen schützt die Seitenwandabstandshalterschicht 126 die untere Elektrode 112, das Schaltdielektrikum 116 und die obere Elektrode 118 vor Kurzschlüssen. Die obere Elektrode 118 kann mit einer oberen Metallisierungsleitung 134 durch eine obere Elektroden-Durchkontaktierung 132 verbunden sein, die von einer oberen Zwischenschicht-Dielektrikumsschicht 138 umgeben ist. In einigen Ausführungsformen sind die unteren Flächen der oberen Metallisierungsleitung 134 und der oberen Zwischenschicht-Dielektrikumsschicht 138 und die oberen Flächen der oberen Elektrode 118, der Seitenwandabstandshalterschicht 126 und der unteren Ätzstoppschicht 120 koplanar. Die untere Ätzstoppschicht 120 besteht aus einem Dielektrikum, das sich von dem der Seitenwandabstandshalterschicht 126 unterscheidet. Die Seitenwandabstandshalterschicht 126 kann beispielsweise Siliziumnitrid umfassen. Die obere dielektrische Schicht 136 kann beispielsweise Siliziumdioxid umfassen. Die untere Ätzstoppschicht 120 kann aus Siliziumoxynitrid bestehen. Während des Herstellungsprozesses ist die untere Ätzstoppschicht 120 gegenüber dem Ätzmittel (im Vergleich zu der oberen dielektrischen Schicht 136 oder der Seitenwandabstandshalterschicht 126) widerstandsfähiger, wenn die obere Elektrode 118 freigelegt wird, wodurch der oberen Elektrode 118 zusätzlicher Halt und Schutz vor Beschädigung gegeben wird.
  • 2 zeigt eine Querschnittsansicht einer integrierten Schaltungsvorrichtung 200 mit einer Speicherzelle 201 gemäß einigen zusätzlichen Ausführungsformen. Die Speicherzelle 201 kann die gleiche Struktur wie die Speicherzelle 114a, 114b aufweisen, die in den 1A oder 1B gezeigt und oben beschrieben ist. Wie in 2 gezeigt, kann die Speicherzelle 201 über einem Substrat 202 angeordnet sein. Das Substrat 202 kann beispielsweise ein Bulk-Substrat (z. B. ein Bulk-Siliziumsubstrat) oder ein Silizium-auf-Isolator- (SOI) -Substrat sein. Ein oder mehrere flache Grabenisolations- (STI) -Bereiche 204 oder mit Oxid gefüllte Gräben sind in dem Substrat 202 angeordnet. Ein Paar von Wortleitungstransistoren 206, 208 ist zwischen den STI-Bereichen 204 beabstandet. Die Wortleitungstransistoren 206, 208 erstrecken sich parallel zueinander und umfassen Wortleitungsgates 210, die durch Wortleitungs-Dielektrikumsschichten 212 von dem Substrat 202 getrennt sind, und Source/Drain-Bereiche 214, 216. Die Source/Drain-Bereiche 214, 216 sind in der Oberfläche des Substrats 202 zwischen den Wortleitungsgates 210 und den STI-Bereichen 204 eingebettet. Die Wortleitungsgates 210 können beispielsweise aus dotiertem Polysilizium oder einem Metall wie Titannitrid oder Tantalnitrid bestehen. Die Wortleitungs-Dielektrikumsschichten 212 können beispielsweise aus einem Oxid wie Siliziumdioxid bestehen. Eine unterste ILD-Schicht 238 ist über den Wortleitungstransistoren 206, 208 angeordnet. Die unterste ILD-Schicht 238 kann aus einem Oxid bestehen.
  • Ein Back-End-of-Line- (BEOL) -Metallisierungsstapel 218 ist über den Wortleitungstransistoren 206, 208 angeordnet. Der BEOL-Metallisierungsstapel 218 umfasst eine Mehrzahl von Metallisierungsschichten 222, 224, 226, die in den Zwischenschicht-Dielektrikumsschichten 220, 228 bzw. 230 angeordnet sind. Die Metallisierungsschichten 222, 224, 226 können beispielsweise aus einem Metall wie Kupfer oder Aluminium bestehen. Die Zwischenschicht-Dielektrikumsschichten 220, 228, 230 können zum Beispiel aus einem Low-k-Dielektrikum wie porösem undotiertem Silikatglas oder einem Oxid wie Siliziumdioxid bestehen. Dielektrische Schichten 108, 242 können angeordnet so sein, dass sie die Zwischenschicht-Dielektrikumsschichten 220, 228, 230 trennen. Die Metallisierungsschichten 222, 224, 226 umfassen eine Sourceleitung 232, die mit dem Source/Drain-Bereich 214 gekoppelt ist, den sich die Wortleitungstransistoren 206, 208 teilen. Ferner umfassen die Metallisierungsschichten 222, 224, 226 eine Bitleitung 134, die mit der Speicherzelle 201 verbunden ist und ferner mit dem Source/Drain-Bereich 216 des Wortleitungstransistors 206 oder des Wortleitungstransistors 208 über eine Mehrzahl von Metallisierungsleitungen, wie die Metallisierungsleitungen 106, 234, und eine Mehrzahl von Durchkontaktierungen, wie die Durchkontaktierungen 132, 110, 240, verbunden ist. Ein Kontakt 236 erstreckt sich von der Metallisierungsleitung 234 durch die unterste ILD-Schicht 238 so, dass er den Source/Drain-Bereich 216 erreicht. Die Durchkontaktierungen 132, 110, 240 und der Kontakt 236 können beispielsweise aus einem Metall wie Kupfer, Gold oder Wolfram bestehen.
  • Die Speicherzelle 201 ist zwischen einer oberen Metallisierungsleitung 134 und einer unteren Metallisierungsleitung 106 eingefügt. Ähnlich wie oben in Verbindung mit den 1A oder 1B beschrieben, umfasst die Speicherzelle 201 eine untere Elektrode 112, die mit einer unteren Elektroden-Durchkontaktierung 110 verbunden ist oder diese nahtlos berührt. Ein Schaltdielektrikum 116 ist über der unteren Elektrode 112 angeordnet. Eine obere Elektrode 118 ist über dem Schaltdielektrikum 116 angeordnet. Eine Seitenwandabstandshalterschicht 126 erstreckt sich entlang Seitenwänden der unteren Elektrode 112, des Schaltdielektrikums 116 und der oberen Elektrode 118 nach oben. Eine untere Ätzstoppschicht 120 ist auf der Seitenwandabstandshalterschicht 126 angeordnet. Eine obere dielektrische Schicht 136 ist auf der unteren Ätzstoppschicht und über der Speicherzelle 201 angeordnet. Die obere dielektrische Schicht 136 kann aus einem Oxid bestehen. Die untere Ätzstoppschicht 120 hat eine Ätzselektivität, die sich von der der oberen dielektrischen Schicht 136 und/oder der Seitenwandabstandshalterschicht 126 unterscheidet, so dass die untere Ätzstoppschicht 120 die obere Elektrode 118 bedeckt und schützt, wenn die Vorläufermaterialien der oberen dielektrischen Schicht 136 und/oder der Seitenwandabstandshalterschicht 126 zurückgeätzt werden, um die obere Elektrode 118 freizulegen. Eine obere Elektroden-Durchkontaktierung 132 verbindet die obere Elektrode 118 mit der oberen Metallisierungsleitung 134. Obwohl die Speicherzelle 201 in 2 als zwischen die obere Metallisierungsschicht 226 und die untere Metallisierungsschicht 224 eingefügt gezeigt ist, ist zu beachten, dass die Speicherzelle 201 zwischen zwei beliebigen der Metallisierungsschichten des BEOL-Metallisierungsstapels 218 eingefügt sein kann.
  • Die 3 - 7 zeigen eine Reihe von Querschnittsansichten einiger Ausführungsformen einer Speichervorrichtung in verschiedenen Herstellungsstadien.
  • Wie in der Querschnittsansicht 300 von 3 gezeigt, werden ein erster Speicherzellenstapel 302a und ein zweiter Speicherzellenstapel 302b nebeneinander über einem Substrat 102 durch Abscheiden eines mehrschichtigen Stapels durch eine Reihe von Gasphasenabscheidungstechniken (z. B. physikalische Gasphasenabscheidung, chemische Gasphasenabscheidung, etc.) ausgebildet, gefolgt von einem oder mehreren Strukturierungsprozessen. Der erste Speicherzellenstapel 302a und der zweite Speicherzellenstapel 302b können mit unterschiedlichen Größen ausgebildet werden. Sowohl der erste wie der zweite Speicherzellenstapel 302a, 302b umfassen eine untere Elektrode 112, ein Schaltdielektrikum 116 über der unteren Elektrode 112, eine obere Elektrode 118 über dem Schaltdielektrikum 116 und eine Seitenwandabstandshalterschicht 126 neben Seitenwänden der unteren Elektrode 112, des Schaltdielektrikums 116 und der oberen Elektrode 118. Die Seitenwandabstandshalterschicht 126 kann durch Abscheiden einer dielektrischen Abstandshalterschicht auf einer oberen Fläche der unteren dielektrischen Schicht 108 ausgebildet werden, wobei sie sich entlang Seitenwandoberflächen der unteren Elektrode 112, des Schaltdielektrikums 116 und der oberen Elektrode 118 erstreckt und eine obere Fläche der oberen Elektrode 118 abdeckt. Dann kann ein anisotropes Ätzen (z. B. ein vertikales Ätzen) an der dielektrischen Abstandshalterschicht durchgeführt werden, um seitliche Abschnitte der dielektrischen Abstandshalterschicht zu entfernen, was zu der Seitenwandabstandshalterschicht 126 führt. Als ein Ergebnis kann die Seitenwandabstandshalterschicht 126 eine obere Fläche aufweisen, die niedriger als die der oberen Elektrode 118 ist. Die obere Fläche der Seitenwandabstandshalterschicht 126 kann auch mit einer oberen Fläche der oberen Elektrode 118 ausgerichtet sein oder niedriger als diese sein. Die dielektrische Abstandshalterschicht kann Siliziumnitrid, Tetraethylorthosilikat (TEOS), siliziumreiches Oxid (SRO) oder einen ähnlichen dielektrischen Verbundfilm umfassen. In einigen Ausführungsformen kann die dielektrische Abstandshalterschicht durch eine Gasphasenabscheidungstechnik (z. B. physikalische Gasphasenabscheidung, chemische Gasphasenabscheidung usw.) ausgebildet werden.
  • Wie in der Querschnittsansicht 400 von 4 gezeigt, werden eine Mehrzahl von dielektrischen Schichten über und zwischen dem ersten Speicherzellenstapel 302a und dem zweiten Speicherzellenstapel 302b ausgebildet. Die Mehrzahl von dielektrischen Schichten können eine untere Ätzstoppschicht 120, die über dem Substrat 102 zwischen dem ersten und dem zweiten Speicherzellenstapel 302a, 302b ausgebildet ist und diese auskleidet, eine obere dielektrische Schicht 136, die über der unteren Ätzstoppschicht 120 ausgebildet ist, eine obere Ätzstoppschicht 402, die über der oberen dielektrischen Schicht 136 ausgebildet ist, und eine Poliervorläuferschicht 404 umfassen, die über der oberen Ätzstoppschicht 402 ausgebildet ist. Die untere Ätzstoppschicht 120 kann aus einem Dielektrikum bestehen, das sich von dem der Seitenwandabstandshalterschicht 126 und der oberen dielektrischen Schicht 136 unterscheidet. Die untere Ätzstoppschicht 120 kann beispielsweise aus Siliziumoxynitrid (SiON) bestehen. Die obere dielektrische Schicht 136 kann beispielsweise aus einem Low-k- oder einem Extreme-Low-k-Dielektrikum bestehen. In einigen Ausführungsformen sind die obere Ätzstoppschicht 402 und die untere Ätzstoppschicht 120 aus demselben Material hergestellt. Die obere dielektrische Schicht 136 und die Poliervorläuferschicht 404 können aus Siliziumdioxid bestehen und können durch plasmaverstärkte Atomlagenabscheidung (PEALD) ausgebildet werden.
  • Wie in der Querschnittsansicht 500 von 5 gezeigt, wird ein erster Planarisierungs-/Vertiefungsprozess durchgeführt, um die obere Ätzstoppschicht 402 und die Poliervorläuferschicht 404 teilweise zu entfernen. In einigen Ausführungsformen ist der erste Planarisierungs-/Vertiefungsprozess ein chemisch-mechanischer Polier- (CMP) -Prozess. Der erste Planarisierungs-/Vertiefungsprozess kann für die obere Ätzstoppschicht 402 und die Poliervorläuferschicht 404 im Wesentlichen nicht-selektiv sein, so dass die obere Ätzstoppschicht 402 und die Poliervorläuferschicht 404 als Ätzergebnis koplanare obere Flächen aufweisen können. Nach dem ersten Ätzen sind ein Teil der Poliervorläuferschicht 404 und ein Teil der oberen Ätzstoppschicht 402 direkt über dem ersten Speicherzellenstapel 302a und dem zweiten Speicherzellenstapel 302b durch den ersten Planarisierungs-/Vertiefungsprozess entfernt. Ein verbleibender Abschnitt der Poliervorläuferschicht 404 zwischen dem ersten Speicherzellenstapel 302a und dem zweiten Speicherzellenstapel 302b weist Seitenwand- und Bodenflächen auf, die von dem verbleibenden Abschnitt der oberen Ätzstoppschicht 402 umgeben sind. Ein Abschnitt der oberen dielektrischen Schicht 136 direkt über den Speicherzellenstapeln 302a, 302b ist freigelegt. Der freigelegte Abschnitt der oberen dielektrischen Schicht 136 kann eine obere Fläche aufweisen, die im Wesentlichen koplanar mit der oberen Fläche der Ätzstoppschicht 402 oder der Poliervorläuferschicht 404 ist.
  • Wie in der Querschnittsansicht 600 von 6 gezeigt, wird ein zweiter Planarisierungs-/Vertiefungsprozess durchgeführt, um die Mehrzahl von dielektrischen Schichten weiter abzusenken. Die Poliervorläuferschicht 404 kann entfernt werden und die obere Ätzstoppschicht 402 kann in einem Randbereich der Speicherzellenstapel 302a, 302b freigelegt werden. Ein Abschnitt der unteren Ätzstoppschicht 120 direkt über den Speicherzellenstapeln 302a, 302b wird freigelegt. In einigen Ausführungsformen ist der zweite Planarisierungs-/Vertiefungsprozess ein chemisch-mechanischer Polier- (CMP) -Prozess. Der zweite Planarisierungs-/Vertiefungsprozess kann für die obere dielektrische Schicht 136 gegenüber der oberen Ätzstoppschicht 402 und der unteren Ätzstoppschicht 120 hochselektiv sein. Zum Beispiel kann die obere dielektrische Schicht 136 (z. B. eine PEALD-Oxidschicht) eine Entfernungsrate aufweisen, die während des zweiten Planarisierungs-/Vertiefungsprozesses etwa zehnmal größer als die der unteren Ätzstoppschicht 120 (z. B. einer SiON-Schicht) und der oberen Ätzstoppschicht 402 (z. B. einer SiON-Schicht) ist. Die untere Ätzstoppschicht 120 ist gegenüber dem Ätzmittel widerstandsfähiger (verglichen mit der oberen dielektrischen Schicht 136), wodurch der oberen Elektrode 118 zusätzlicher Halt und Schutz vor Beschädigung gegeben wird. Die untere Ätzstoppschicht 120 kann aus einem Material mit einer Ätzrate hergestellt sein, die kleiner (z. B. etwa 50% kleiner) als die der Seitenwandabstandshalterschicht 126 (z. B. einer SiN-Schicht) ist, so dass mehr Halt für die Speicherzellenstapel 302a, 302b und den Randbereich durch die untere Ätzstoppschicht 120 und die obere Ätzstoppschicht 402 während des ersten und des zweiten Planarisierungs-/Vertiefungsprozesses bereitgestellt werden kann.
  • Wie in der Querschnittsansicht 700 von 7 gezeigt, wird eine deckende Ätzung durchgeführt, um die obere Ätzstoppschicht 402 (in 6 gezeigt) zu entfernen und die untere Ätzstoppschicht 120 und die obere dielektrische Schicht 136 weiter abzusenken. Als Ätzergebnis sind die oberen Elektroden 118 des ersten und des zweiten Speicherzellenstapels 302a, 302b freigelegt. In einigen Ausführungsformen, beispielsweise in Bezug auf 2, wird nach dem Durchführen des deckenden Ätzens eine Zwischenschicht-Dielektrikumsschicht 230 über der oberen Elektrode 118, der Seitenwandabstandshalterschicht 126 und der oberen dielektrischen Schicht 136 ausgebildet. Eine obere Elektroden-Durchkontaktierung 132 wird so ausgebildet, dass sie sich durch die Zwischenschicht-Dielektrikumsschicht 230 so erstreckt, dass sie die obere Elektrode 118 erreicht.
  • 8 zeigt einige Ausführungsformen eines Flussdiagramms eines Verfahrens 800 zum Ausbilden einer Speichervorrichtung. Obwohl das Verfahren 800 in Bezug auf die 3 - 7 beschrieben ist, ist zu beachten, dass das Verfahren 800 nicht auf solche Strukturen beschränkt ist, die in den 3 - 7 offenbart sind, sondern stattdessen unabhängig von den in den 3 - 7 offenbarten Strukturen alleine stehen kann. In ähnlicher Weise versteht sich, dass die in den 3 - 7 offenbarten Strukturen nicht auf das Verfahren 800 beschränkt sind, sondern stattdessen als Strukturen unabhängig von dem Verfahren 800 alleine stehen können. Auch wenn offengelegte Verfahren (z. B. das Verfahren 800) im Folgenden als eine Folge von Vorgängen oder Ereignissen gezeigt und beschrieben werden, ist zu beachten, dass die gezeigte Reihenfolge solcher Vorgänge oder Ereignisse nicht in einem einschränkenden Sinne zu verstehen ist. Zum Beispiel können einige Vorgänge in einer anderen Reihenfolge und/oder gleichzeitig mit anderen Vorgängen oder Ereignissen als den hier gezeigten und/oder beschriebenen auftreten. Zusätzlich müssen nicht alle gezeigten Vorgänge erforderlich sein, um einen oder mehrere Aspekte oder Ausführungsformen der vorliegenden Beschreibung zu implementieren. Ferner können eine oder mehrere der hier gezeigten Vorgänge in einem oder mehreren getrennten Schritten und/oder Phasen ausgeführt werden.
  • Bei 802 werden ein erster Speicherzellenstapel und ein zweiter Speicherzellenstapel nebeneinander über einem Substrat ausgebildet, indem ein mehrschichtiger Stapel abgeschieden wird, gefolgt von einem oder mehreren Strukturierungsprozessen. Eine Seitenwandabstandshalterschicht wird neben Seitenwänden einer unteren Elektrode, eines Schaltdielektrikums und einer oberen Elektrode des Speicherzellenstapels ausgebildet. Die Seitenwandabstandshalterschicht kann so ausgebildet werden, dass sie eine obere Fläche aufweist, die niedriger als die der oberen Elektrode ist. Die obere Fläche der Seitenwandabstandshalterschicht kann auch an einer oberen Fläche der oberen Elektrode ausgerichtet oder niedriger als diese sein. 3 zeigt einige Ausführungsformen einer Querschnittsansicht 300, die dem Vorgang 802 entspricht.
  • Bei 804 werden eine Mehrzahl von dielektrischen Schichten über und zwischen dem ersten Speicherzellenstapel und dem zweiten Speicherzellenstapel ausgebildet. Die Mehrzahl von dielektrischen Schichten können eine untere Ätzstoppschicht, die über dem Substrat und zwischen dem ersten und dem zweiten Speicherzellenstapel ausgebildet ist, eine obere dielektrische Schicht, die über der unteren Ätzstoppschicht ausgebildet ist, eine obere Ätzstoppschicht, die über der oberen dielektrischen Schicht ausgebildet ist, und eine Poliervorläuferschicht umfassen, die über der oberen Ätzstoppschicht ausgebildet ist. Die untere Ätzstoppschicht kann zum Beispiel aus Siliziumoxynitrid (SiON) bestehen. 4 zeigt einige Ausführungsformen einer Querschnittsansicht 400, die dem Vorgang 804 entspricht.
  • Bei 806 wird ein erster Planarisierungs-/Vertiefungsprozess durchgeführt, um die obere Ätzstoppschicht und die Poliervorläuferschicht teilweise zu entfernen. In einigen Ausführungsformen ist der erste Planarisierungs-/Vertiefungsprozess ein chemisch-mechanischer Polier- (CMP) -Prozess. Der erste Planarisierungs-/Vertiefungsprozess kann für die obere Ätzstoppschicht und die Poliervorläuferschicht im Wesentlichen nicht-selektiv sein. Ein Teil der Poliervorläuferschicht und ein Teil der oberen Ätzstoppschicht direkt über dem ersten und dem zweiten Speicherzellenstapel werden durch den ersten Planarisierungs-/Vertiefungsprozess entfernt. Ein verbleibender Abschnitt der Poliervorläuferschicht zwischen dem ersten Speicherzellenstapel und dem zweiten Speicherzellenstapel weist Seitenwand- und Bodenflächen auf, die von dem verbleibenden Abschnitt der oberen Ätzstoppschicht umgeben sind. Ein Abschnitt der oberen dielektrischen Schicht direkt über den Speicherzellenstapeln wird freigelegt. 5 zeigt einige Ausführungsformen einer Querschnittsansicht 500, die dem Vorgang 806 entspricht.
  • Bei 808 wird ein zweiter Planarisierungs-/Vertiefungsprozess durchgeführt, um die Mehrzahl von dielektrischen Schichten weiter abzusenken. Die Poliervorläuferschicht kann entfernt werden und die obere Ätzstoppschicht kann in einem Randbereich der Speicherzellenstapel freigelegt werden. Ein Abschnitt der unteren Ätzstoppschicht direkt über den Speicherzellenstapeln ist freigelegt. In einigen Ausführungsformen ist der zweite Planarisierungs-/Vertiefungsprozess ein chemisch-mechanischer Polier- (CMP) -Prozess. 6 zeigt einige Ausführungsformen einer Querschnittsansicht 600, die dem Vorgang 808 entspricht.
  • Bei 810 wird eine deckende Ätzung durchgeführt, um die obere Ätzstoppschicht zu entfernen und die untere Ätzstoppschicht und die obere dielektrische Schicht weiter abzusenken. Die oberen Elektroden des ersten und des zweiten Speicherzellenstapels werden freigelegt. 7 zeigt einige Ausführungsformen einer Querschnittsansicht 700, die dem Vorgang 810 entspricht.
  • Die 9 - 13 zeigen eine Reihe von Querschnittsansichten einiger Ausführungsformen einer Speichervorrichtung in verschiedenen Herstellungsstadien.
  • Wie in der Querschnittsansicht 900 von 9 gezeigt, werden ein erster Speicherzellenstapel 302a und ein zweiter Speicherzellenstapel 302b nebeneinander über einem Substrat 102 durch Abscheiden eines mehrschichtigen Stapels durch eine Reihe von Gasphasenabscheidungstechniken ausgebildet (z. B. physikalische Gasphasenabscheidung, chemische Gasphasenabscheidung usw.), gefolgt von einem oder mehreren Strukturierungsprozessen. Der erste Speicherzellenstapel 302a und der zweite Speicherzellenstapel 302b können mit unterschiedlichen Größen ausgebildet werden. Sowohl der erste wie der zweite Speicherzellenstapel 302a, 302b umfassen eine untere Elektrode 112, ein Schaltdielektrikum 116 über der unteren Elektrode 112 und eine obere Elektrode 118 über dem Schaltdielektrikum 116. In einigen Ausführungsformen ist die untere Elektrode 112 mit einer unteren Elektroden-Durchkontaktierung 110 verbunden, die von einer unteren dielektrischen Schicht 108 umgeben ist. Eine Seitenwandabstandshalterschicht 126 wird ausgebildet, indem eine dielektrische Abstandshalterschicht konform und direkt auf der unteren dielektrischen Schicht 108 und sich entlang Seitenwänden der unteren Elektrode 112, des Schaltdielektrikums 116 und der oberen Elektrode 118 nach oben erstreckend abgeschieden wird. Die dielektrische Abstandshalterschicht kann Siliziumnitrid, Tetraethylorthosilikat (TEOS), siliziumreiches Oxid (SRO) oder einen ähnlichen dielektrischen Verbundfilm umfassen. In einigen Ausführungsformen kann die dielektrische Abstandshalterschicht durch eine Gasphasenabscheidungstechnik (z. B. physikalische Gasphasenabscheidung, chemische Gasphasenabscheidung usw.) ausgebildet werden.
  • Wie in der Querschnittsansicht 1000 von 10 gezeigt, werden eine Mehrzahl von dielektrischen Schichten über und zwischen dem ersten Speicherzellenstapel 302a und dem zweiten Speicherzellenstapel 302b und der Krümmung der Speicherzellenstapel 302a, 302b folgend ausgebildet. Die mehreren dielektrischen Schichten können eine untere Ätzstoppschicht 120, die direkt auf der Seitenwandabstandshalterschicht 126 ausgebildet ist, eine obere dielektrische Schicht 136, die auf der unteren Ätzstoppschicht 120 ausgebildet ist, eine obere Ätzstoppschicht 1002, die über der oberen dielektrischen Schicht 136 ausgebildet ist, eine sauerstoffhaltige dielektrische Schicht 1004, die über der oberen Ätzstoppschicht 1002 ausgebildet ist, und eine Poliervorläuferschicht 1006 umfassen, die über der sauerstoffhaltigen dielektrischen Schicht 1004 ausgebildet ist. Die untere Ätzstoppschicht 120 kann aus einem Dielektrikum bestehen, das sich von dem der Seitenwandabstandshalterschicht 126 und der oberen dielektrischen Schicht 136 unterscheidet. Die untere Ätzstoppschicht 120 kann beispielsweise aus Siliziumoxynitrid (SiON) bestehen. Die obere dielektrische Schicht 136 kann beispielsweise aus einem Low-k- oder einem Extreme-Low-k-Dielektrikum bestehen. In einigen Ausführungsformen sind die obere Ätzstoppschicht 1002 und die untere Ätzstoppschicht 120 aus demselben Material hergestellt. Die obere dielektrische Schicht 136 kann aus Siliziumdioxid bestehen und kann durch plasmaverstärkte Atomlagenabscheidung (PEALD) ausgebildet werden. Die sauerstoffhaltige dielektrische Schicht 1004 kann Tetraethylorthosilikat (TEOS) umfassen. Die Poliervorläuferschicht 1006 kann ein antireflexives Beschichtungsmaterial (z. B. kohlenstoffhaltiges BARC-Material) umfassen.
  • Wie in der Querschnittsansicht 1100 von 11 gezeigt, wird ein erster Planarisierungs-/Vertiefungsprozess durchgeführt, um die obere Ätzstoppschicht 1002, die sauerstoffhaltige dielektrische Schicht 1004 und die Poliervorläuferschicht 1006 teilweise zu entfernen. In einigen Ausführungsformen umfasst der erste Planarisierungs-/Vertiefungsprozess einen Trockenätzprozess, der für die Poliervorläuferschicht 1006 im Vergleich zur oberen Ätzstoppschicht 1002 selektiv ist. Zum Beispiel weist der Trockenätzprozess ein Verhältnis der Ätzrate der Poliervorläuferschicht 1006 zu der der oberen Ätzstoppschicht 1002 von mehr als 6:1 auf. Das Trockenätzen wird auf der oberen Ätzstoppschicht 1002 gestoppt, sobald Sauerstoff der sauerstoffhaltigen dielektrischen Schicht 1004 erfasst wird. Dann wird eine Überätzung durchgeführt, um die sauerstoffhaltige dielektrische Schicht 1004 und die obere Ätzstoppschicht 1002 zu entfernen und die obere dielektrische Schicht 136 über dem ersten Speicherzellenstapel 302a und dem zweiten Speicherzellenstapel 302b freizulegen.
  • Wie in der Querschnittsansicht 1200 von 12 gezeigt, wird ein zweiter Planarisierungs-/Vertiefungsprozess durchgeführt, um die Mehrzahl von dielektrischen Schichten weiter abzusenken. Die Poliervorläuferschicht 1006 kann entfernt werden und die obere Ätzstoppschicht 1002 kann in einem Randbereich der Speicherzellenstapel 302a, 302b freigelegt werden. Ein Abschnitt der unteren Ätzstoppschicht 120 direkt über den Speicherzellenstapeln 302a, 302b wird freigelegt. In einigen Ausführungsformen ist der zweite Planarisierungs-/Vertiefungsprozess ein chemisch-mechanischer Polier- (CMP) -Prozess. Der zweite Planarisierungs-/Vertiefungsprozess kann für die obere dielektrische Schicht 136 gegenüber der oberen Ätzstoppschicht 1002 und der unteren Ätzstoppschicht 120 hochselektiv sein. Zum Beispiel kann die obere dielektrische Schicht 136 (z. B. eine PEALD-Oxidschicht) eine Entfernungsrate aufweisen, die während des zweiten Planarisierungs-/Vertiefungsprozesses etwa zehnmal größer als die der unteren Ätzstoppschicht 120 (z. B. einer SiON-Schicht) und der oberen Ätzstoppschicht 402 (z. B. einer SiON-Schicht) ist. Die untere Ätzstoppschicht 120 ist gegenüber dem Ätzmittel widerstandsfähiger (verglichen mit der oberen dielektrischen Schicht 136), wodurch der oberen Elektrode 118 zusätzlicher Halt und Schutz vor Beschädigung gegeben wird. Die untere Ätzstoppschicht 120 kann aus einem Material mit einer Ätzrate hergestellt sein, die kleiner (z. B. etwa 50% kleiner) als die der Seitenwand-Abstandshalterschicht 126 (z. B. einer SiN-Schicht) ist, so dass mehr Halt für die Speicherzellenstapel 302a, 302b und den Randbereich durch die untere Ätzstoppschicht 120 und die obere Ätzstoppschicht 1002 während des ersten und zweiten Planarisierungs-/Vertiefungsprozesses bereitgestellt werden kann.
  • Wie in der Querschnittsansicht 1300 von 13 gezeigt, wird eine deckende Ätzung durchgeführt, um die obere Ätzstoppschicht 1002 (in 12 gezeigt) zu entfernen und die untere Ätzstoppschicht 120 und die obere dielektrische Schicht 136 weiter abzusenken. Als Ätzergebnis sind die oberen Elektroden 118 des ersten und des zweiten Speicherzellenstapels 302a, 302b freigelegt. In einigen Ausführungsformen, beispielsweise in Bezug auf 2, wird nach dem Durchführen des deckenden Ätzens eine Zwischenschicht-Dielektrikumsschicht 230 über der oberen Elektrode 118, der Seitenwandabstandshalterschicht 126 und der oberen dielektrischen Schicht 136 ausgebildet. Eine obere Elektroden-Durchkontaktierung 132 wird so ausgebildet, dass sie sich durch die Zwischenschicht-Dielektrikumsschicht 230 so erstreckt, dass sie die obere Elektrode 118 erreicht.
  • 14 zeigt einige Ausführungsformen eines Flussdiagramms eines Verfahrens 1400 zum Ausbilden einer Speichervorrichtung. Obwohl das Verfahren 1400 in Bezug auf die 9 - 13 beschrieben ist, ist zu beachten, dass das Verfahren 1400 nicht auf solche Strukturen beschränkt ist, die in den 9 - 13 offenbart sind, sondern stattdessen unabhängig von den in den 9 - 13 offenbarten Strukturen stehen kann. In ähnlicher Weise versteht sich, dass die in den 9 - 13 offenbarten Strukturen nicht auf das Verfahren 1400 beschränkt sind, sondern stattdessen als Strukturen unabhängig von dem Verfahren 1400 alleine stehen können. Auch wenn offengelegte Verfahren (z. B. das Verfahren 1400) im Folgenden als eine Folge von Vorgängen oder Ereignissen gezeigt und beschrieben werden, ist zu beachten, dass die gezeigte Reihenfolge solcher Vorgänge oder Ereignisse nicht in einem einschränkenden Sinne zu verstehen ist. Zum Beispiel können einige Vorgänge in einer anderen Reihenfolge und/oder gleichzeitig mit anderen Vorgängen oder Ereignissen als den hier gezeigten und/oder beschriebenen auftreten. Zusätzlich müssen nicht alle gezeigten Vorgänge erforderlich sein, um einen oder mehrere Aspekte oder Ausführungsformen der vorliegenden Beschreibung zu implementieren. Ferner können eine oder mehrere der hier gezeigten Vorgänge in einem oder mehreren getrennten Schritten und/oder Phasen ausgeführt werden.
  • Bei 1402 werden ein erster Speicherzellenstapel und ein zweiter Speicherzellenstapel nebeneinander über einem Substrat ausgebildet, indem ein mehrschichtiger Stapel abgeschieden wird, gefolgt von einem oder mehreren Strukturierungsprozessen. Eine Seitenwandabstandshalterschicht wird auf einer unteren dielektrischen Schicht neben Seitenwänden des Speicherzellenstapels ausgebildet. Die Seitenwandabstandshalterschicht kann konform ausgebildet werden. 9 zeigt einige Ausführungsformen einer Querschnittsansicht 900, die dem Vorgang 1402 entspricht.
  • Bei 1404 werden eine Mehrzahl von dielektrischen Schichten über und zwischen dem ersten Speicherzellenstapel und dem zweiten Speicherzellenstapel ausgebildet. Die Mehrzahl von dielektrischen Schichten können eine untere Ätzstoppschicht, die über dem Substrat und zwischen dem ersten und dem zweiten Speicherzellenstapel ausgebildet ist, eine obere dielektrische Schicht, die über der unteren Ätzstoppschicht ausgebildet ist, eine obere Ätzstoppschicht, die über der oberen dielektrischen Schicht ausgebildet ist, und eine sauerstoffhaltige dielektrische Schicht und eine Poliervorläuferschicht umfassen, die über der oberen Ätzstoppschicht ausgebildet sind. Die untere Ätzstoppschicht kann zum Beispiel aus Siliziumoxynitrid (SiON) bestehen. 10 zeigt einige Ausführungsformen einer Querschnittsansicht 1000, die dem Vorgang 1404 entspricht.
  • Bei 1406 wird ein erster Planarisierungs-/Vertiefungsprozess durchgeführt, um die obere Ätzstoppschicht und die Poliervorläuferschicht teilweise zu entfernen. In einigen Ausführungsformen umfasst der erste Planarisierungs-/Vertiefungsprozess einen Trockenätzprozess, der für die Poliervorläuferschicht selektiv ist. Der Trockenätzprozess stoppt auf der sauerstoffhaltigen dielektrischen Schicht und wird von einer präziser gesteuerten Ätzung abgelöst, um nach dem Ätzen eine planare Oberfläche zu erreichen. Nach dem ersten Planarisierungs-/Vertiefungsprozess ist ein Abschnitt der oberen dielektrischen Schicht direkt über den Speicherzellenstapeln freigelegt. 11 zeigt einige Ausführungsformen einer Querschnittsansicht 1100, die dem Vorgang 1406 entspricht.
  • Bei 1408 wird ein zweiter Planarisierungs-/Vertiefungsprozess durchgeführt, um die Mehrzahl von dielektrischen Schichten weiter abzusenken. Die Poliervorläuferschicht kann entfernt werden und die obere Ätzstoppschicht kann in einem Randbereich der Speicherzellenstapel freigelegt werden. Ein Abschnitt der unteren Ätzstoppschicht direkt über den Speicherzellenstapeln wird freigelegt. In einigen Ausführungsformen ist der zweite Planarisierungs-/Vertiefungsprozess ein chemisch-mechanischer Polier- (CMP) -Prozess. 12 zeigt einige Ausführungsformen einer Querschnittsansicht 1200, die dem Vorgang 1408 entspricht.
  • Bei 1410 wird eine deckende Ätzung durchgeführt, um die obere Ätzstoppschicht zu entfernen und die untere Ätzstoppschicht und die obere dielektrische Schicht weiter abzusenken. Die oberen Elektroden des ersten und des zweiten Speicherzellenstapels werden freigelegt. 13 zeigt einige Ausführungsformen einer Querschnittsansicht 1300, die dem Vorgang 1410 entspricht.
  • Es sei darauf hingewiesen, dass, während in diesem Dokument bei der Beschreibung von Aspekten der hier beschriebenen Methodologien auf beispielhafte Strukturen Bezug genommen wird, diese Methodologien nicht durch die entsprechenden gezeigten Strukturen beschränkt sein sollen. Vielmehr sollen die Methodologien (und Strukturen) als unabhängig voneinander und fähig allein zu stehen betrachtet werden und können unabhängig von den in den Figuren gezeigten speziellen Aspekten praktiziert werden. Zusätzlich können hierin beschriebene Schichten auf jede geeignete Art und Weise ausgebildet werden, wie zum Beispiel mit Rotationsbeschichtungs-, Sputter-, Wachstums- und/oder Abscheidungstechniken, etc.
  • Dem Fachmann können auch äquivalente Änderungen und/oder Modifikationen auf Grundlage eines Lesens und/oder Verstehens der Beschreibung und der beigefügten Zeichnungen einfallen. Die Offenbarung hierin umfasst solche Modifikationen und Änderungen und soll im Allgemeinen nicht dadurch beschränkt sein. Zum Beispiel ist zu beachten, dass, obwohl die hierin angegebenen Figuren so gezeigt und beschrieben sind, dass sie einen speziellen Dotierungstyp aufweisen, andere Dotierungstypen verwendet werden können, wie für den Fachmann ersichtlich ist.
  • Wie aus dem obigen ersichtlich, sieht die vorliegende Offenbarung eine Speicherzelle vor. Die Speicherzelle umfasst eine untere Elektroden-Durchkontaktierung, die von einer unteren dielektrischen Schicht umgeben ist und über einem Substrat angeordnet ist. Eine untere Elektrode ist auf der unteren Elektroden-Durchkontaktierung angeordnet. Ein Schaltdielektrikum ist über der unteren Elektrode angeordnet und hat einen variablen Widerstand. Eine obere Elektrode ist über dem Schaltdielektrikum angeordnet. Eine Seitenwandabstandshalterschicht erstreckt sich entlang Seitenwänden der unteren Elektrode, des Schaltdielektrikums und der oberen Elektrode nach oben. Eine untere Ätzstoppschicht ist über der unteren dielektrischen Schicht angeordnet und kleidet eine äußere Seitenwand der Seitenwandabstandshalterschicht aus. Eine obere dielektrische Schicht ist auf der unteren Ätzstoppschicht angeordnet und umgibt einen oberen Abschnitt der Seitenwandabstandshalterschicht. Die untere Ätzstoppschicht ist aus einem Material hergestellt, das sich von dem der Seitenwandabstandshalterschicht unterscheidet.
  • In einer weiteren Ausführungsform betrifft die vorliegende Offenbarung ein Verfahren zum Herstellen einer integrierten Schaltung (IC). Das Verfahren umfasst das Ausbilden und Strukturieren eines ersten Speicherzellenstapels und eines zweiten Speicherzellenstapels mit unterschiedlicher Größe nebeneinander über einem Substrat. Jeder der Speicherzellenstapel umfasst eine untere Elektrode, ein Schaltdielektrikum über der unteren Elektrode, eine obere Elektrode über dem Schaltdielektrikum und eine Seitenwandabstandshalterschicht neben Seitenwänden der unteren Elektrode, des Schaltdielektrikums und der oberen Elektrode. Das Verfahren umfasst ferner das Ausbilden einer oberen dielektrischen Schicht über dem Substrat zwischen dem ersten und dem zweiten Speicherzellenstapel und diese auskleidend und das Ausbilden einer oberen Ätzstoppschicht über der oberen dielektrischen Schicht. Das Verfahren umfasst ferner das Durchführen eines ersten chemisch-mechanischen Polier- (CMP) -Prozesses, um die obere dielektrische Schicht direkt über den Speicherzellenstapeln freizulegen, und das Durchführen einer deckenden Ätzung, um die obere Ätzstoppschicht zu entfernen und die obere dielektrische Schicht weiter abzusenken und die oberen Elektroden des ersten und des zweiten Speicherzellenstapels freizulegen.
  • In noch einer weiteren Ausführungsform betrifft die vorliegende Offenbarung ein Verfahren zum Herstellen einer integrierten Schaltung (IC). Das Verfahren umfasst das Ausbilden und Strukturieren eines ersten Speicherzellenstapels und eines zweiten Speicherzellenstapels mit unterschiedlicher Größe nebeneinander über einem Substrat. Jeder der Speicherzellenstapel umfasst eine untere Elektrode, ein Schaltdielektrikum über der unteren Elektrode, eine obere Elektrode über dem Schaltdielektrikum und eine Seitenwandabstandshalterschicht neben Seitenwänden der unteren Elektrode, des Schaltdielektrikums und der oberen Elektrode. Das Verfahren umfasst ferner das Ausbilden einer unteren Ätzstoppschicht über dem Substrat zwischen dem ersten und dem zweiten Speicherzellenstapel und diese auskleidend, das Ausbilden einer oberen dielektrischen Schicht über der unteren Ätzstoppschicht, das Ausbilden einer oberen Ätzstoppschicht über der oberen dielektrischen Schicht und das Ausbilden einer Poliervorläuferschicht über der oberen Ätzstoppschicht. Das Verfahren umfasst ferner das Durchführen eines ersten chemisch-mechanischen Polier- (CMP) -Prozesses zum Freilegen der oberen dielektrischen Schicht direkt über den Speicherzellenstapeln und das Durchführen eines zweiten chemisch-mechanischen Polier- (CMP) -Prozesses zum Absenken der oberen Ätzstoppschicht zwischen den Speicherzellenstapeln und zum Freilegen der unteren Ätzstoppschicht direkt über den Speicherzellenstapeln. Das Verfahren umfasst ferner das Durchführen einer deckenden Ätzung, um die obere Ätzstoppschicht zu entfernen und um die untere Ätzstoppschicht teilweise zu entfernen und die oberen Elektroden des ersten und des zweiten Speicherzellenstapels freizulegen.
  • Das Vorangehende beschreibt Merkmale von mehreren Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte anerkennen, dass er die vorliegende Offenbarung leicht als Basis verwenden kann, um weitere Verfahren und Strukturen zu entwerfen oder zu modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu realisieren. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht von dem Geist und Schutzumfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hier vornehmen kann, ohne von dem Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Speichervorrichtung, umfassend: eine untere Elektroden-Durchkontaktierung, die von einer unteren dielektrischen Schicht umgeben ist und über einem Substrat angeordnet ist; Eine untere Elektrode, die auf der unteren Elektroden-Durchkontaktierung angeordnet ist; ein Schaltdielektrikum, das über der unteren Elektrode angeordnet ist und einen variablen Widerstand aufweist; eine obere Elektrode, die über dem Schaltdielektrikum angeordnet ist; eine Seitenwandabstandshalterschicht, die sich entlang Seitenwänden der unteren Elektrode, des Schaltdielektrikums und der oberen Elektrode nach oben erstreckt; eine untere Ätzstoppschicht, die über der unteren dielektrischen Schicht angeordnet ist und eine äußere Seitenwand der Seitenwandabstandshalterschicht auskleidet; und eine obere dielektrische Schicht, die auf der unteren Ätzstoppschicht angeordnet ist und einen oberen Abschnitt der Seitenwandabstandshalterschicht umgibt; wobei die untere Ätzstoppschicht aus einem Material hergestellt ist, das sich von dem der Seitenwandabstandshalterschicht unterscheidet.
  2. Speichervorrichtung nach Anspruch 1, wobei die untere Ätzstoppschicht aus Siliziumoxynitrid besteht und die Seitenwandabstandshalterschicht aus Siliziumnitrid hergestellt ist.
  3. Speichervorrichtung nach Anspruch 1 oder 2, wobei obere Flächen der unteren Ätzstoppschicht und der oberen dielektrischen Schicht koplanar sind.
  4. Speichervorrichtung nach einem der vorhergehenden Ansprüche, wobei eine obere Fläche der Seitenwandabstandshalterschicht die untere Ätzstoppschicht direkt berührt und von dieser bedeckt ist und niedriger als eine obere Fläche der oberen Elektrode ist.
  5. Speichervorrichtung nach einem der vorhergehenden Ansprüche, wobei obere Flächen der oberen Elektrode, der Seitenwandabstandshalterschicht, der unteren Ätzstoppschicht und der oberen dielektrischen Schicht koplanar sind.
  6. Speichervorrichtung nach einem der vorhergehenden Ansprüche, wobei die untere Ätzstoppschicht die obere dielektrische Schicht direkt berührt.
  7. Speichervorrichtung nach einem der vorhergehenden Ansprüche, wobei die Seitenwandabstandshalterschicht von der oberen dielektrischen Schicht durch die untere Ätzstoppschicht getrennt ist.
  8. Speichervorrichtung nach einem der vorhergehenden Ansprüche, wobei die untere Ätzstoppschicht aus Siliziumoxynitrid besteht und die obere dielektrische Schicht aus Siliziumoxid besteht.
  9. Speichervorrichtung nach einem der vorhergehenden Ansprüche, ferner umfassend: eine untere Metallisierungsleitung, die von einer unteren Zwischenschicht-Dielektrikumsschicht umgeben ist und mit der unteren Elektrode durch die untere Elektroden-Durchkontaktierung verbunden ist; und eine obere Metallisierungsleitung, die von einer oberen Zwischenschicht-Dielektrikumsschicht umgeben ist und die obere Elektrode direkt berührt.
  10. Speichervorrichtung nach Anspruch 9, wobei untere Flächen der oberen Metallisierungsleitung und der oberen Zwischenschicht-Dielektrikumsschicht und obere Flächen der oberen Elektrode und der unteren Ätzstoppschicht koplanar sind.
  11. Verfahren zum Herstellen einer Speichervorrichtung, umfassend: Ausbilden und Strukturieren eines ersten Speicherzellenstapels und eines zweiten Speicherzellenstapels unterschiedlicher Größe nebeneinander über einem Substrat, wobei jeder der Speicherzellenstapel eine untere Elektrode, ein Schaltdielektrikum über der unteren Elektrode, eine obere Elektrode über dem Schaltdielektrikum und eine Seitenwandabstandshalterschicht neben Seitenwänden des ersten Speicherzellenstapels und des zweiten Speicherzellenstapels umfasst; Ausbilden einer oberen dielektrischen Schicht über dem Substrat zwischen dem ersten und dem zweiten Speicherzellenstapel und diese auskleidend; Ausbilden einer oberen Ätzstoppschicht über der oberen dielektrischen Schicht; Durchführen eines ersten chemisch-mechanischen Polier- (CMP) -Prozesses, um die obere dielektrische Schicht direkt über den Speicherzellenstapeln freizulegen; und Durchführen einer deckenden Ätzung, um die obere Ätzstoppschicht zu entfernen und die obere dielektrische Schicht weiter abzusenken und die oberen Elektroden des ersten und des zweiten Speicherzellenstapels freizulegen.
  12. Verfahren nach Anspruch 11, das vor dem Durchführen des ersten CMP-Prozesses ferner umfasst: Ausbilden einer Poliervorläuferschicht über der oberen Ätzstoppschicht; wobei ein Abschnitt der Poliervorläuferschicht direkt über dem ersten Speicherzellenstapel und dem zweiten Speicherzellenstapel durch den ersten CMP-Prozess entfernt wird und ein verbleibender Abschnitt der Poliervorläuferschicht zwischen dem ersten Speicherzellenstapel und dem zweiten Speicherzellenstapel Seitenwand- und Bodenflächen aufweist, die von der oberen Ätzstoppschicht umgeben sind.
  13. Verfahren nach Anspruch 12, ferner umfassend: Durchführen eines Trockenätzprozesses an der Poliervorläuferschicht vor dem Durchführen des ersten CMP-Prozesses; wobei der Trockenrückätzprozess ein Verhältnis der Ätzrate der Poliervorläuferschicht zu der der oberen dielektrischen Schicht von mehr als 6:1 aufweist.
  14. Verfahren nach Anspruch 12 oder 13, wobei die obere dielektrische Schicht und die Poliervorläuferschicht durch plasmaverstärkte Atomlagenabscheidung (PEALD) ausgebildet werden.
  15. Verfahren nach einem der Ansprüche 12 bis 14, wobei der erste chemisch-mechanische Polier- (CMP) -Prozess eine im Wesentlichen gleiche Ätzrate für die obere Ätzstoppschicht und die Poliervorläuferschicht aufweist.
  16. Verfahren nach einem der Ansprüche 11 bis 15, ferner umfassend: Ausbilden einer unteren Ätzstoppschicht über dem Substrat zwischen dem ersten und dem zweiten Speicherzellenstapel und diese auskleidend vor dem Ausbilden der oberen dielektrischen Schicht; und nach dem Durchführen des ersten CMP-Prozesses, Durchführen eines zweiten chemisch-mechanischen Polier- (CMP) -Prozesses, um die obere Ätzstoppschicht weiter abzusenken und die untere Ätzstoppschicht direkt über den Speicherzellenstapeln freizulegen.
  17. Verfahren nach Anspruch 16, wobei die obere Ätzstoppschicht und die untere Ätzstoppschicht aus dem gleichen Material hergestellt sind.
  18. Verfahren nach Anspruch 16 oder 17, wobei die obere Ätzstoppschicht und die untere Ätzstoppschicht aus Siliziumoxynitrid hergestellt sind.
  19. Verfahren nach einem der Ansprüche 11 bis 18, das nach dem Durchführen des deckenden Ätzens ferner umfasst: Ausbilden einer Zwischenschicht-Dielektrikumsschicht über der oberen Elektrode, der Seitenwandabstandshalterschicht und der oberen dielektrischen Schicht; und Ausbilden einer oberen Elektroden-Durchkontaktierung, die sich durch die Dielektrikumsschicht so erstreckt, dass sie die obere Elektrode erreicht.
  20. Verfahren zum Herstellen einer Speichervorrichtung, umfassend: Ausbilden und Strukturieren eines ersten Speicherzellenstapels und eines zweiten Speicherzellenstapels unterschiedlicher Größe nebeneinander über einem Substrat, wobei jeder der Speicherzellenstapel eine untere Elektrode, ein Schaltdielektrikum über der unteren Elektrode, eine obere Elektrode über dem Schaltdielektrikum und eine Seitenwandabstandshalterschicht neben Seitenwänden der unteren Elektrode, des Schaltdielektrikums und der oberen Elektrode umfasst; Ausbilden einer unteren Ätzstoppschicht über dem Substrat zwischen dem ersten und dem zweiten Speicherzellenstapel und diese auskleidend; Ausbilden einer oberen dielektrischen Schicht über der unteren Ätzstoppschicht; Ausbilden einer oberen Ätzstoppschicht über der oberen dielektrischen Schicht; Ausbilden einer Poliervorläuferschicht über der oberen Ätzstoppschicht; Durchführen eines ersten chemisch-mechanischen Polier- (CMP) -Prozesses, um die obere dielektrische Schicht direkt über den Speicherzellenstapeln freizulegen; Durchführen eines zweiten chemisch-mechanischen Polier- (CMP) -Prozesses, um die obere Ätzstoppschicht zwischen den Speicherzellenstapeln abzusenken und die untere Ätzstoppschicht direkt über den Speicherzellenstapeln freizulegen; und Durchführen eines deckenden Ätzens, um die obere Ätzstoppschicht zu entfernen und die untere Ätzstoppschicht teilweise zu entfernen und die oberen Elektroden des ersten und des zweiten Speicherzellenstapels freizulegen.
DE102018122524.5A 2017-09-28 2018-09-14 Struktur und Verfahren zum Freilegen von Speicherzellen mit unterschiedlichen Größen Pending DE102018122524A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762564360P 2017-09-28 2017-09-28
US62/564,360 2017-09-28
US15/883,748 2018-01-30
US15/883,748 US10163651B1 (en) 2017-09-28 2018-01-30 Structure and method to expose memory cells with different sizes

Publications (1)

Publication Number Publication Date
DE102018122524A1 true DE102018122524A1 (de) 2019-03-28

Family

ID=64692360

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018122524.5A Pending DE102018122524A1 (de) 2017-09-28 2018-09-14 Struktur und Verfahren zum Freilegen von Speicherzellen mit unterschiedlichen Größen

Country Status (5)

Country Link
US (4) US10163651B1 (de)
KR (1) KR102194053B1 (de)
CN (1) CN109585646B (de)
DE (1) DE102018122524A1 (de)
TW (1) TWI666799B (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019127131A1 (de) * 2019-07-29 2021-02-04 Taiwan Semiconductor Manufacturing Co. Ltd. 3d-rram-zellenstruktur zur reduzierung von form- und einstellspannungen
DE102020101299A1 (de) * 2020-01-15 2021-07-15 Taiwan Semiconductor Manufacturing Co., Ltd. Speichervorrichtung unter verwendung einer dielektrischenätzstoppschicht und verfahren zur bildung derselben

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10497436B2 (en) * 2017-11-27 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and fabrication thereof
US11476416B2 (en) * 2018-03-29 2022-10-18 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US11437573B2 (en) 2018-03-29 2022-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US10522740B2 (en) * 2018-05-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Techniques for MRAM MTJ top electrode to metal layer interface including spacer
US10529913B1 (en) * 2018-06-28 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Techniques for MRAM MTJ top electrode connection
CN110875421B (zh) * 2018-09-04 2023-05-23 联华电子股份有限公司 磁阻式存储单元及其制造方法
US10957850B2 (en) * 2018-10-04 2021-03-23 International Business Machines Corporation Multi-layer encapsulation to enable endpoint-based process control for embedded memory fabrication
US10727274B2 (en) 2018-10-23 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Techniques for MRAM top electrode via connection
US10950497B2 (en) 2018-11-26 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Electrical connection for semiconductor devices
TWI814856B (zh) * 2019-07-05 2023-09-11 聯華電子股份有限公司 半導體元件及其製作方法
TWI708410B (zh) * 2019-07-08 2020-10-21 華邦電子股份有限公司 可變電阻式記憶體及其製造方法
CN112242483B (zh) * 2019-07-19 2024-05-07 联华电子股份有限公司 磁阻式随机存取存储器
US11283005B2 (en) * 2019-09-30 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer scheme and method for MRAM
CN112736189A (zh) * 2019-10-14 2021-04-30 上海磁宇信息科技有限公司 一种磁存储器底电极及其制造工艺和磁存储器
DE102020125195A1 (de) * 2019-10-30 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Ätzstoppschicht zur bildung von speicheranordnung
US11637240B2 (en) * 2019-10-31 2023-04-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US20210273156A1 (en) * 2020-02-27 2021-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic tunnel junction structures with protection outer layers
CN112271254B (zh) * 2020-10-27 2021-12-28 长江先进存储产业创新中心有限责任公司 相变存储器及相变存储器的制作方法
FR3116942A1 (fr) * 2020-11-27 2022-06-03 Commissariat à l'Energie Atomique et aux Energies Alternatives Procédé de reprise de contact électrique
US11984351B2 (en) 2021-04-13 2024-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cavity in metal interconnect structure
TWI761193B (zh) * 2021-04-28 2022-04-11 華邦電子股份有限公司 電阻式隨機存取記憶單元及其製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6785159B2 (en) * 2002-08-29 2004-08-31 Micron Technology, Inc. Combination etch stop and in situ resistor in a magnetoresistive memory and methods for fabricating same
US7880249B2 (en) 2005-11-30 2011-02-01 Magic Technologies, Inc. Spacer structure in MRAM cell and method of its fabrication
US7688618B2 (en) * 2006-07-18 2010-03-30 Qimonda North America Corp. Integrated circuit having memory having a step-like programming characteristic
US8258587B2 (en) 2008-10-06 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor performance with metal gate
WO2012073503A1 (ja) * 2010-12-03 2012-06-07 パナソニック株式会社 不揮発性記憶素子ならびに不揮発性記憶装置及びそれらの製造方法
US8796795B2 (en) * 2011-08-01 2014-08-05 Avalanche Technology Inc. MRAM with sidewall protection and method of fabrication
JP2014056941A (ja) 2012-09-12 2014-03-27 Toshiba Corp 抵抗変化型メモリ
US9218881B2 (en) * 2012-10-23 2015-12-22 Sandisk Technologies Inc. Flash memory blocks with extended data retention
CN104681497B (zh) * 2013-12-03 2017-05-24 华邦电子股份有限公司 存储器的制造方法
US9806129B2 (en) * 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9577191B2 (en) * 2014-04-02 2017-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM cell bottom electrode formation
US9178144B1 (en) * 2014-04-14 2015-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM cell with bottom electrode
US20160027843A1 (en) * 2014-07-25 2016-01-28 Yoshinori Kumura Semiconductor memory device and manufacturing method thereof
US9431603B1 (en) * 2015-05-15 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device
US9818935B2 (en) * 2015-06-25 2017-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Techniques for MRAM MTJ top electrode connection
US9502466B1 (en) * 2015-07-28 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy bottom electrode in interconnect to reduce CMP dishing
TWI699914B (zh) * 2016-09-08 2020-07-21 聯華電子股份有限公司 半導體元件及其製作方法
US10446607B2 (en) * 2016-12-28 2019-10-15 GLOBALFOUNDARIES Singapore Pte. Ltd. Integrated two-terminal device with logic device for embedded application

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019127131A1 (de) * 2019-07-29 2021-02-04 Taiwan Semiconductor Manufacturing Co. Ltd. 3d-rram-zellenstruktur zur reduzierung von form- und einstellspannungen
DE102020101299A1 (de) * 2020-01-15 2021-07-15 Taiwan Semiconductor Manufacturing Co., Ltd. Speichervorrichtung unter verwendung einer dielektrischenätzstoppschicht und verfahren zur bildung derselben
US11152426B2 (en) 2020-01-15 2021-10-19 Taiwan Semiconductor Manufacturing Company Limited Memory device using an etch stop dielectric layer and methods for forming the same

Also Published As

Publication number Publication date
TW201916418A (zh) 2019-04-16
KR20190037179A (ko) 2019-04-05
TWI666799B (zh) 2019-07-21
US10727077B2 (en) 2020-07-28
KR102194053B1 (ko) 2020-12-23
CN109585646B (zh) 2023-07-21
US11367623B2 (en) 2022-06-21
US10163651B1 (en) 2018-12-25
US20200335353A1 (en) 2020-10-22
US20190157099A1 (en) 2019-05-23
US20210050220A1 (en) 2021-02-18
US11183394B2 (en) 2021-11-23
CN109585646A (zh) 2019-04-05

Similar Documents

Publication Publication Date Title
DE102018122524A1 (de) Struktur und Verfahren zum Freilegen von Speicherzellen mit unterschiedlichen Größen
DE102016100272B4 (de) Integrierter Schaltkreis, der zumindest eine Speicherzelle mit einer Datenspeicherschicht enthält, und Verfahren zu dessen Herstellung
DE102017123365B4 (de) Verfahren zur herstellung einer homogenen oberen fläche einer unteren elektroden-durchkonraktierung (beva) für speicher
DE10326771B4 (de) Integrierte Speicherschaltung und Verfahren zum Bilden einer integrierten Speicherschaltung
DE102020111648B4 (de) Halbleiterspeichervorrichtungen
DE102018119672A1 (de) Techniken für mram mtj top elektrode auf metallschicht-interface mit einem abstandhalter
DE102018100023A1 (de) Resistive direktzugriffspeicherzelle (rram-zelle) mit ausgesparten seitenwänden der unteren elektrode
DE102019113416A1 (de) Durchkontaktierungs-Aufsetzverbesserung für Speicherbauelement
DE102018206372B4 (de) Vorrichtung mit Speicherbereich und Poly-Insulator-Poly-Kondensator
DE102021100089A1 (de) Dreidimensionale speichervorrichtung und verfahren
DE102019107906A1 (de) Untere Elektrodenstruktur in Speichervorrichtungen
DE102019113486B4 (de) Neuartige hartmaske für mtj-strukturierung
DE10236682A1 (de) Halbleitervorrichtung
DE102019127070A1 (de) Verfahren zum bilden einer speicherzelle
DE102020112783A1 (de) Nichtflüchtige speicheranordnung und herstellungstechnologie
DE102020128755A1 (de) Speicherarray-treppenstruktur
DE102020126631B4 (de) Speicheranordnungen enthaltend Dummy-Bereiche und Verfahren zu ihrer Herstellung
DE102019129279A1 (de) Integrationsverfahren für Speicherzelle
DE102021110748A1 (de) Transistor, der einen wasserstoffdiffusionsbarrierefilm aufweist undverfahren zu dessen ausbildung
DE102021112578A1 (de) Speicherbauelement und verfahren zum bilden davon
DE102020134397A1 (de) Speicherarraykontaktstrukturen
DE102021100353A1 (de) Dreidimensionale speichervorrichtung und deren herstellungsverfahren
DE102022100084A1 (de) Zugangstransistor mit einer metalloxidsperrschicht und verfahren zu dessen herstellung
DE102020133486A1 (de) Nichtflüchtiger speicher mit doppelter ansteuerung
DE102021116207A1 (de) Hohlraum in Metallverschaltungsstruktur

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027220000

Ipc: H10B0061000000