DE102020112783A1 - Nichtflüchtige speicheranordnung und herstellungstechnologie - Google Patents

Nichtflüchtige speicheranordnung und herstellungstechnologie Download PDF

Info

Publication number
DE102020112783A1
DE102020112783A1 DE102020112783.9A DE102020112783A DE102020112783A1 DE 102020112783 A1 DE102020112783 A1 DE 102020112783A1 DE 102020112783 A DE102020112783 A DE 102020112783A DE 102020112783 A1 DE102020112783 A1 DE 102020112783A1
Authority
DE
Germany
Prior art keywords
layer
hard mask
dielectric
over
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020112783.9A
Other languages
English (en)
Inventor
Chern-Yow Hsu
Chung-Chiang Min
Shih-Chang Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020112783A1 publication Critical patent/DE102020112783A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Patterning of the switching material
    • H10N70/063Patterning of the switching material by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors

Abstract

Eine Speicherzelle mit Hartmaskenisolator und deren Herstellungsverfahren werden offenbart. In einigen Ausführungsformen wird ein Speicherzellenstapel über einem Substrat gebildet, das eine untere Elektrodenschicht, eine Widerstandsschaltdielektrikumschicht über der unteren Elektrodenschicht und eine obere Elektrodenschicht über der Widerstandsschaltdielektrikumschicht aufweist. Eine erste isolierende Schicht wird über der oberen Elektrodenschicht gebildet. Eine erste Metallhartmaskenschicht wird über der ersten Isolierschicht gebildet. Dann wird eine Reihe von Ätzvorgängen durchgeführt, um die erste Metallhartmaskenschicht, die erste Isolierschicht, die obere Elektrodenschicht und die Widerstandsschaltdielektrikumschicht zu strukturieren, um eine erste metallische Hartmaske, einen Hartmaskenisolator, eine obere Elektrode und ein Widerstandsschaltdielektrikum zu bilden.

Description

  • HINTERGRUND
  • Viele moderne elektronische Vorrichtungen umfassen elektronische Speicher. Ein elektronischer Speicher kann ein flüchtiger Speicher oder nichtflüchtiger Speicher (NVM, non volatile memory) sein. Ein nichtflüchtiger Speicher kann Daten bei fehlender Stromversorgung speichern, während ein flüchtiger Speicher hierzu nicht in der Lage ist. Nichtflüchtige Speicher wie der magnetoresistive Direktzugriffsspeicher (MRAM) und der resistive Direktzugriffsspeicher (RRAM) sind aufgrund relativ einfacher Strukturen und ihrer Kompatibilität mit CMOS-Logik-Fertigungsprozessen vielversprechende Kandidaten für die nichtflüchtige Speichertechnologie der nächsten Generation.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Vielmehr können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 zeigt eine schematische Darstellung zur Herstellung einer Speicherzelle.
    • 2 zeigt eine Querschnittsansicht einiger Ausführungsformen einer Speicherzelle mit einem Hartmaskenstapel, der eine Isolierschicht aufweist.
    • 3 zeigt eine Querschnittsansicht einiger alternativer Ausführungsformen einer Speicherzelle der 2 mit einem Hartmaskenstapel, der mehreren isolierende Schichten aufweist.
    • 4 zeigt eine Querschnittsansicht einiger Ausführungsformen einer Speicherzelle mit einer isolierenden Hartmaske, wobei die Speicherzelle der 4 ein Endprodukt sein kann, nachdem die Speicherzelle der 2 oder 3 gemäß dem Hartmaskenstapel strukturiert ist.
    • 5 zeigt eine Querschnittsansicht einiger alternativer Ausführungsformen einer Speicherzelle der 5 mit isolierender Hartmaske.
    • 6 zeigt eine Querschnittsansicht einiger alternativer Ausführungsformen einer Speicherzelle der 4 und 5 mit isolierender Hartmaske.
    • 7 zeigt eine Querschnittsansicht einiger Ausführungsformen einer integrierten Schaltung mit der Speicherzelle der 4, 5 oder 6.
    • 8-19 zeigen eine Reihe von Querschnittsansichten einiger Ausführungsformen einer integrierten Schaltung in verschiedenen Herstellungsstadien, wobei die integrierte Schaltung eine Speicherzelle aufweist.
    • 20 zeigt ein Flussdiagramm einiger Ausführungsformen eines Verfahrens zur Herstellung einer integrierten Schaltung mit einer Speicherzelle.
  • DETAILLIERTE BESCHREIBUNG
  • Die vorliegende Offenbarung bietet viele verschiedene Ausführungsformen oder Beispiele für die Umsetzung verschiedener Merkmale dieser Offenbarung. Zur Vereinfachung der vorliegenden Offenbarung werden im Folgenden spezifische Beispiele für Komponenten und Anordnungen beschrieben. Es handelt sich dabei freilich nur um Beispiele, die nicht einschränkend sein sollen. Beispielsweise kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt gebildet werden, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet werden können, so dass das erste und das zweite Merkmal womöglich nicht in direktem Kontakt stehen. Ferner können Bezugszeichen in den verschiedenen Beispielen der vorliegenden Offenbarung wiederholt werden. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und schreibt an sich keine Beziehung zwischen den verschiedenen hierin diskutierten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier zur einfacheren Beschreibung räumlich relative Begriffe wie „oben“, „oberhalb“, „über“, „unten“, „unterhalb“, „unter“ und dergleichen verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmalen zu beschreiben, wie in den Zeichnungen dargestellt. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Zeichnungen dargestellten Ausrichtung verschiedene Ausrichtungen der Vorrichtung während Benutzung oder während Betriebs umfassen. Die Vorrichtung kann anders bündig angeordnet sein (um 90 Grad gedreht oder in anderen Ausrichtungen), und auch die hier verwendeten räumlich relativen Deskriptoren können entsprechend ausgelegt werden.
  • Darüber hinaus können hier der Einfachheit halber „erste“, „zweite“, „dritte“ usw. verwendet werden, um zwischen verschiedenen Elementen einer Figur oder einer Reihe von Figuren zu unterscheiden. „Erste“, „zweite“, „dritte“ usw. sind nicht dazu gedacht, das entsprechende Element zu beschreiben. Daher entspricht „eine erste dielektrische Schicht“, die in Verbindung mit einer ersten Abbildung beschrieben wird, nicht unbedingt einer „ersten dielektrischen Schicht“, die in Verbindung mit einer anderen Abbildung beschrieben wird.
  • Nichtflüchtige Speicher wie der magnetoresistive Direktzugriffsspeicher (MRAM) oder der resistive Direktzugriffsspeicher (RRAM) umfassen eine Reihe von Speicherzellen. Eine Speicherzelle weist einen Stapel mit einer oberen Elektrode und einer unteren Elektrode auf, die durch ein Widerstandsschaltdielektrikum voneinander getrennt sind. Abhängig von einer Spannung, die an das Elektrodenpaar angelegt wird, führt das Widerstandsschaltdielektrikum einen reversiblen Wechsel zwischen einem hochohmigen Zustand in Verbindung mit einem ersten Datenzustand (beispielsweise „0“ oder „RESET“) und einem niederohmigen Zustand in Verbindung mit einem zweiten Datenzustand (beispielsweise „1“ oder „SET“). Das Widerstandsschaltdielektrikum kann aus mehreren Materialien hergestellt sein. Beispielsweise kann die Widerstandsschaltdielektrikumschicht eine magnetische Tunnelübergangsstruktur (MTJ-Struktur) mit einer gepinnten magnetischen Schicht und einer freien magnetischen Schicht aufweisen, die durch eine dielektrische Sperrschicht vertikal voneinander getrennt sind. Angesichts der fortwährenden Nachfrage nach immer kleineren Pitch-Größe bleibt die Strukturierung der Speicherzellenstapel bei geringen Abmessungen und sehr dichtem Pitch eine Herausforderung für nichtflüchtige Speicher mit hoher Dichte aufgrund der Schwierigkeit zur Ätzung de Speicherzellenstapel. In einigen Ausführungsformen wird ein Hartmaskenstapel für den Strukturierungsprozess verwendet. Der Hartmaskenstapel kann dielektrische Hartmaskenschichten, die aus dielektrischen Materialien wie Siliziumnitrid oder Siliziumoxynitrid gebildet sind, und eine oder mehrere Metallhartmaskenschichten aufweisen, die aus Metall oder Metalllegierungen wie Tantal oder Tantalnitrid gebildet sind. Mit Bezug auf eine Querschnittsansicht 100 der 1 zum Beispiel wird ein Hartmaskenstapel 202' zur Strukturierung auf einem Speicherzellenstapel 204' ausgebildet. Der Hartmaskenstapel 204' kann eine erste Metallhartmaskenschicht 1110 und eine zweite Metallhartmaskenschicht 1114 aufweisen, beispielsweise eine Tantalnitridschicht und eine Tantalschicht. Nach der Strukturierung einer oberen Elektrodenschicht 1106 des Speicherzellenstapels 204' zur Bildung einer oberen Elektrode 118 hat der Anmelder einen Schrumpfungsabschnitt und einen Einschnürungsabschnitt der ersten Metallhartmaskenschicht 1110 und der zweiten Metallhartmaskenschicht 1114 beobachtet, die als 111os, 1114s eingekreist sind. Der galvanische Effekt auf benachbarte Metalle trägt zu diesem Problem bei. Ein Metall lässt sich leichter oxidieren, wenn es mit einem anderen Metall mit geringerem Redoxpotential in Kontakt kommt. Dabei haben die Metallhartmaskenschichten 1110, 1114 ein negativeres Redoxpotential als die oberste Elektrodenschicht 1006 und werden daher bei der Strukturierung der obersten Elektrodenschicht 1006 oxidiert und geätzt. Der Anmelder beobachtete zum Beispiel, dass eine laterale Breite der ersten Metallhartmaskenschicht 1110 auf etwa 35 nm schrumpfen kann und eine laterale Breite der zweiten Metallhartmaskenschicht 1114 auf etwa 15 nm schrumpfen kann, wenn die laterale Breite der oberen Elektrodenschicht 118 etwa 50 nm beträgt. Dieses Schrumpfungs- oder Einschnürungsproblem könnte zu einem Fehlschlag des Strukturierungsprozesses führen.
  • Vor diesem Hintergrund bezieht sich die vorliegende Anmeldung in einigen fortgeschrittenen Ausführungsformen auf ein verbessertes Verfahren zur Herstellung einer Speichervorrichtung unter Verwendung eines Hartmaskenstapels und entsprechender NVM-Speichervorrichtungsstrukturen. In einigen Ausführungsformen wird beim Bilden des Hartmaskenstapels für die Speichervorrichtung eine Isolierschicht zwischen zwei Metallschichten aus unterschiedlichem Material gebildet, so dass das vorstehend diskutierte Schrumpfungs- oder Einschnürungsproblem reduziert oder vermieden werden kann.
  • 2 zeigt eine Querschnittsansicht 200 einiger Ausführungsformen einer Speicherzelle mit einem Hartmaskenstapel 202. Der Hartmaskenstapel weist eine erste Isolierschicht 1108 auf, die zwischen einer oberen Elektrode 1006 und einer ersten Metallhartmaskenschicht 1110 angeordnet ist. Im Einzelnen wird der Hartmaskenstapel 202 zur Strukturierung über einem Speicherzellenstapel 204 gebildet, wie in 2 gemäß einigen Ausführungsformen gezeigt. Weitere Einzelheiten der Strukturierungsprozesse werden nachstehend in Verbindung mit 11-17 beschrieben. Der Speicherzellenstapel 204 kann eine untere Elektrodenschicht 1002, eine Widerstandsschaltdielektrikumschicht 1004 und die obere Elektrodenschicht 1006 aufweisen, die übereinander auf einem Substrat 102 gestapelt sind. Die obere Elektrodenschicht 1006 kann eine erste Art von Metallmaterial (beispielsweise Wolfram) enthalten oder daraus hergestellt sein. Der Hartmaskenstapel 202 kann die erste Isolierschicht 1108 unten, eine erste Metallhartmaskenschicht 1110 auf der ersten Isolierschicht 1108 und eine erste dielektrische Hartmaskenschicht 1116 über der ersten Metallhartmaskenschicht 1110 aufweisen. Die erste Metallhartmaskenschicht 1110 kann eine zweite Art von Metallmaterial (beispielsweise Tantal) enthalten oder daraus hergestellt sein, die von derjenigen der oberen Elektrodenschicht 1006 verschieden ist. Die erste Isolierschicht 1108 ist unten an dem Hartmaskenstapel angeordnet und kontaktiert die obere Elektrodenschicht 1006 und trennt diese von der ersten Metallhartmaskenschicht 1110, um das Schrumpfungs- oder Einschnürungsproblem der oberen Elektrodenschicht 1006 oder der ersten Metallhartmaskenschicht 1110 zu verhindern. Dann wird eine Reihe von Ätzungen durchgeführt, die in 2 nicht dargestellt sind, um den Hartmaskenstapel 202, die obere Elektrodenschicht 1006, die Widerstandsschaltdielektrikumschicht 1004 und die untere Elektrodenschicht 1002 zu strukturieren, um jeweils eine Hartmaske, eine obere Elektrode, ein Widerstandsschaltdielektrikum und eine untere Elektrode zu bilden. Die untere Elektrode kann über eine untere Elektrode-Durchkontaktierung 110 mit einer Metallleitung einer Metallisierungsschicht 224 elektrisch gekoppelt sein. Ein Seitenwandabstandhalter und eine Ätzstoppschicht können anschließend entlang Seitenwände und Umrisse des strukturierten Stapels gebildet werden.
  • 3 zeigt eine Querschnittsansicht 300 einiger alternativer Ausführungsformen einer Speicherzelle der 2, wobei der Hartmaskenstapel 202 mehrere Isolierschichten 1108, 1110 aufweist. Obwohl zwei Isolierschichten 1108, 1110 in 3 dargestellt sind, kann der Hartmaskenstapel 202 mehr als zwei übereinander gestapelte Sätze von Metallhartmaskenschichten und Isolierschichten aufweisen. Die zusätzlichen Sätze von Metallhartmaskenschichten und Isolierschichten bieten eine größere Maskendicke für die Strukturierung der Speicherzellen. Weitere Einzelheiten zu den Strukturierungsprozessen sind nachstehend in Verbindung mit den 11-17 beschrieben. Wie in 3 beispielhaft gezeigt, ist bei einigen Ausführungsformen eine zweite Isolierschicht 1112 auf der ersten Metallhartmaskenschicht 1110 und eine zweite Metallhartmaskenschicht 1114 auf der zweiten Isolierschicht 1112 angeordnet. Die zweite Isolierschicht 1112 trennt die erste Metallhartmaskenschicht 1110 und die zweite Metallhartmaskenschicht 1114 voneinander, so dass das Schrumpfungs- oder Einschnürungsproblem bei der ersten Metallhartmaskenschicht 1110 oder der zweiten Metallhartmaskenschicht 1114 verhindert wird. Die zweite Metallhartmaskenschicht 1114 kann eine dritte Art von Metallmaterial enthalten, die von derjenigen der ersten Metallhartmaskenschicht 1110 oder der oberen Elektrodenschicht 1006 verschieden ist. Beispielsweise kann die zweite Metallhartmaskenschicht 1114 Tantalnitrid enthalten oder aus Tantalnitrid hergestellt sein. Tantal kann als Hartmaskenmaterial für die Strukturierung aufgrund seiner Selektivitätseigenschaft ein besseres Material als Tantalnitrid sein. Die Tantalschicht weist jedoch eine hohe Spannung auf und kann bei zu großer Dicke zu einem Abblätterungsproblem führen. Daher kann ein Hartmaskenstapel, der sowohl Tantal als auch Tantalnitrid enthält, dazu beitragen, eine gewünschte Hartmaskenhöhe zu erreichen und dabei die Selektivität und Stabilität beibehalten. Andere anwendbare Metallmaterialien fallen ebenfalls in den Umfang der Offenbarung und sind als Metallhartmaskenschichten 1110, 1114 zu verwenden. Die zweite Isolierschicht 1112 kann dasselbe oder ein anderes dielektrisches Material als die erste Isolierschicht 1108 (beispielsweise Siliziumdioxid) enthalten. Die zweite Isolierschicht 1112 und die erste Isolierschicht 1108 können auch Siliciumcarbid (SiC), Siliciumnitrid (SiN), Siliciumoxycarbid (SiOC), Siliciumoxynitrid (SiON) oder eine Kombination hiervon enthalten oder daraus hergestellt sein. Andere anwendbare dielektrische Materialien fallen ebenfalls in den Umfang der Offenbarung und sind als die zweite Isolierschicht 1112 oder die erste Isolierschicht 1108 zu verwenden. In einigen Ausführungsformen können die zweite Isolierschicht 1112 und die erste Isolierschicht 1108 jeweils eine Dicke in einem Bereich von etwa 1 nm bis etwa 10 nm aufweisen. In einigen alternativen Ausführungsformen können die zweite Isolierschicht 1112 und die erste Isolierschicht 1108 jeweils eine Dicke in einem Bereich von etwa 3 nm bis etwa 10 nm aufweisen. Bei Dicken der zweiten Isolierschicht 1112 oder der ersten Isolierschicht 1108 von jeweils kleiner als 3 nm kann ein Problem der Ungleichförmigkeit aufgrund der Eigenschaft der CVD-Schichtabscheidung auftreten. Eine Dicke der zweiten Isolierschicht 1112 oder der ersten Isolierschicht 1108 von mehr als 10 nm ist möglicherweise nicht erwünscht, da die Verwendung von Metallschichten als harte Maskenschichten mehr Selektivitätsvorteile liefert als die Verwendung von Isolierschichten.
  • 4 zeigt eine Querschnittsansicht 400 einiger Ausführungsformen einer Speicherzelle mit einer isolierenden Hartmaske 202. Die Speicherzelle der 4 kann ein Zwischenprodukt oder ein Endprodukt sein, nachdem die Speicherzelle der 2 oder 3 gemäß dem Hartmaskenstapel 202 strukturiert ist. In einigen Ausführungsformen weist eine Speicherzelle 114 eine untere Elektrode 112 auf, die über einem Substrat 102 angeordnet ist. Ein Widerstandsschaltdielektrikum 116 ist über der unteren Elektrode 112 angeordnet und weist einen variablen Widerstand auf. Eine obere Elektrode 118 ist über dem Widerstandsschaltdielektrikum 116 angeordnet. Während des Betriebs der Speicherzelle 114 werden Spannungen zwischen der oberen Elektrode 118 und der unteren Elektrode 112 angelegt, um die Speicherzelle 114 zu lesen, zu belegen oder zu löschen, indem ein oder mehrere leitfähige Filamente des Widerstandsschaltdielektrikums 116 gebildet oder gebrochen werden. So kann die Speicherzelle 114 einen variablen Widerstand in einem vergleichsweise niederohmigen oder hochohmigen Zustand haben, um beispielsweise einen niedrigen oder hohen Bit-Zustand darzustellen.
  • Ein Hartmaskenisolator 120 ist direkt auf der oberen Elektrode 118 angeordnet und kann Seitenwände haben, die mit der oberen Elektrode 118 bündig angeordnet oder koplanar sind. Der Hartmaskenisolator 120 kann ein Teilrest der ersten Isolierschicht 1108, die in 2 oder 3 gezeigt ist, aus dem Speicherzellen-Strukturierungsprozess sein. In einigen Ausführungsformen ist ein Seitenwandabstandhalter 122 auf einer oberen Oberfläche der unteren Elektrode 112 angeordnet und erstreckt sich nach oben entlang der Seitenwände des Widerstandsschaltdielektrikums 116 und der oberen Elektrode 118 und kann sich bis zu einem unteren Teil des Hartmaskenisolators 120 erstrecken. Der Seitenwandabstandhalter 122 kann sich auch zu der gesamten Seitenwandfläche des Hartmaskenisolators 120 erstrecken. Eine Ätzstoppschicht 126 ist über dem Substrat 102 angeordnet und kann die untere Elektrode 112, den Seitenwandabstandhalter 122 konform auskleiden und sich über den Hartmaskenisolator 120 erstrecken. Die Ätzstoppschicht 126 kann eine obere Oberfläche der Isolierschicht direkt kontaktieren und bedecken. Die Ätzstoppschicht 126 und der Seitenwandabstandhalter 122 können verschiedene Materialien oder das gleiche Material mit unterschiedlichen Dichten enthalten. Der Seitenwandabstandhalter 122 und die Ätzstoppschicht 126 können auch eine oder mehrere Schichten mit dielektrischer Zusammensetzung enthalten, die beispielsweise Siliziumoxid, Siliziumnitrid, Siliziumkarbid oder dergleichen enthalten. Der Seitenwandabstandhalter 122 kann während der Herstellung der Speicherzelle 114 verwendet werden, um eine Grundfläche der unteren Elektrode 112 zu definieren. Die Ätzstoppschicht 126 schützt die obere Elektrode 118 während der Landung der oberen Elektrode-Durchkontaktierung 132.
  • Nach einigen Ausführungsformen kann die Speicherzelle 114 in einen Backend-of-Line-Metallisierungsstapel (BEOL-Metallisierungsstapel) eingefügt werden, der eine untere Zwischenverbindungsstruktur 140 und eine obere Zwischenverbindungsstruktur 142 aufweist, die über dem Substrat 102 angeordnet sind. Die untere Zwischenverbindungsstruktur 140 weist eine untere Metallisierungsleitung 106 auf, die innerhalb einer unteren dielektrischen Zwischenschicht 104 angeordnet ist. Die obere Zwischenverbindungsstruktur 142 weist eine obere Metallisierungsleitung 134 auf, die innerhalb einer oberen dielektrischen Zwischenschicht 138 angeordnet ist. Die untere dielektrische Zwischenschicht 104 und die obere dielektrische Zwischenschicht 138 können beispielsweise ein Oxid, ein niedrig-k-Dielektrikum (d. h. ein Dielektrikum mit einer kleineren Dielektrizitätskonstante k als Siliziumdioxid) oder ein extremniedrig-k-Dielektrikum (ein Dielektrikum mit einer Dielektrizitätskonstante k kleiner als etwa 2) sein, und die unteren Metallisierungsleitungen 106 und die oberen Metallisierungsleitungen 134 können beispielsweise ein Metall wie Kupfer sein.
  • Die untere Elektrode 112 der Speicherzelle 114 kann ein leitfähiges Material sein, wie beispielsweise Titan, Tantal, Titannitrid, Tantalnitrid, Wolfram, Ruthenium, Molybdän, Kobalt oder eine Kombination hiervon. Ein Beispiel für die Dicke der untere Elektrode 112 kann in einem Bereich von etwa 10 nm bis 100 nm, oder vorzugsweise 10 nm bis etwa 20 nm, liegen. Diese beispielhafte Dicke kann, zusammen mit anderen nachstehend angegebenen Beispielabmessungen, für einen bestimmten Fertigungsknoten verwendet werden, und eine proportionale Skalierung dieser Abmessungen für andere Knoten ist denkbar. In einigen Ausführungsformen ist die untere Elektrode 112 über eine untere Elektrode-Durchkontaktierung 110, die zwischen der unteren Elektrode 112 und der unteren Metallisierungsleitung 106 angeordnet ist, mit der unteren Metallisierungsleitungen 106 der unteren Zwischenverbindungsstruktur 140 gekoppelt. Die untere Elektrode-Durchkontaktierung 110 kann beispielsweise Titannitrid enthalten. Eine beispielhafte Dicke der unteren Elektrode-Durchkontaktierung 110 kann in einem Bereich von etwa 40 nm bis etwa 50 nm liegen. In einigen Ausführungsformen ist eine untere dielektrische Schicht 108 um die untere Elektrode-Durchkontaktierung 110 herum angeordnet. Die untere dielektrische Schicht 108 kann beispielsweise Siliciumcarbid, Siliciumnitrid, Siliciumoxid oder eine oder mehrere Schichten aus dielektrischen Verbundfilmen aufweisen. Eine obere dielektrische Schicht 136 ist über der unteren dielektrischen Schicht 108 angeordnet. Die obere dielektrische Schicht 136 kann Siliziumoxid enthalten. Die obere dielektrische Schicht 136 kann eine untere Oberfläche aufweisen, die direkt mit einer oberen Oberfläche der Ätzstoppschicht 126 in Kontakt steht. Die obere dielektrische Schicht 136 kann eine obere Oberfläche haben, die direkt mit einer unteren Oberfläche der oberen dielektrischen Zwischenschicht 138 in Kontakt steht.
  • In einigen Ausführungsformen ist die Speicherzelle 114 eine magnetoresistive Direktzugriffsspeicherzelle (MRAM-Zelle) und das Widerstandsschaltdielektrikum 116 kann eine magnetische Tunnelübergangsstruktur (MTJ-Struktur) aufweisen. Die MTJ-Struktur kann eine untere ferromagnetische Schicht und eine obere ferromagnetische Schicht aufweisen, die durch eine Tunnelbarriereschicht voneinander getrennt sind. In einigen anderen Ausführungsformen ist die Speicherzelle 114 eine resistive Direktzugriffsspeicherzelle (RRAM-Zelle) und das Widerstandsschaltdielektrikum 116 kann eine RRAM-Dielektrikumschicht umfassen. Das Widerstandsschaltdielektrikum 116 kann eine hoch-k-Schicht sein (d. h. eine Schicht mit einer Dielektrizitätskonstante k größer als 3,9), beispielsweise Tantaloxid, Tantal-Hafniumoxid, Tantal-Aluminiumoxid oder ein anderes Material, das Tantal, Sauerstoff und ein oder mehrere andere Elemente enthält. Das Widerstandsschaltdielektrikum 116 kann auch andere Verbundschichten enthalten. Beispielsweise kann das Widerstandsschaltdielektrikum 116 eine unten angeordnete Keimschicht und/oder eine oben angeordnete Deckschicht aufweisen. Eine beispielhafte Dicke des Widerstandsschaltdielektrikums 116 kann in einem Bereich von etwa 20 nm bis etwa 50 nm liegen.
  • Die obere Elektrode 118 ist über dem Widerstandsschaltdielektrikum 116 angeordnet. Die obere Elektrode 118 kann oben Wolfram enthalten, um einen guten Landungskontakt zu gewährleisten. Die obere Elektrode 118 oder die darunterliegende Deckschicht der MTJ-Struktur kann auch eine oder mehrere andere Metallschichten oder Metallverbundschichten aufweisen, die beispielsweise Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen enthalten. Eine beispielhafte Dicke der oberen Elektrode 118 kann in einem Bereich von etwa 30 nm bis etwa 40 nm liegen. In einigen Ausführungsformen ist die obere Elektrode 118 mit der oberen Metallisierungsleitung 134 der oberen Zwischenverbindungsstruktur 142 durch eine obere Elektrode-Durchkontaktierung 132, die zwischen der obere Elektrode 118 und der oberen Metallisierungsleitung 134 angeordnet ist, elektrisch gekoppelt. Die obere Elektrode-Durchkontaktierung 132 kann beispielsweise ein leitfähiges Material wie Kupfer, Aluminium, Kobalt oder Wolfram sein. Eine Barriere-Auskleidung 131 kann unter der oberen Elektrode-Durchkontaktierung 132 angeordnet sein und als eine Diffusionssperrschicht fungieren, um zu verhindern, dass Material zwischen der oberen Elektrode-Durchkontaktierung 132 und der oberen Elektrode 118 diffundiert. Die Barriere-Auskleidung 131 kann beispielsweise Tantalnitrid enthalten. Eine beispielhafte Dicke der Barriere-Auskleidung 131 kann in einem Bereich von etwa 5 nm bis etwa 10 nm liegen.
  • 5 zeigt eine Querschnittsansicht 500 einer Speicherzelle mit isolierender Hartmaske gemäß einigen alternativen Ausführungsformen. Im Vergleich zu 4 ist der Seitenwandabstandhalter 122 auf einer oberen Oberfläche einer unteren dielektrischen Schicht 108 angeordnet und erstreckt sich nach oben entlang der Seitenwände der unteren Elektrode 112, des Widerstandsschaltdielektrikums 116 und der oberen Elektrode 118, und kann sich zu einem unteren Teil oder der gesamten Seitenwandfläche des Hartmaskenisolators 120 erstrecken. Die Ätzstoppschicht 126 ist auf der oberen Oberfläche der unteren Dielektrikumschicht 108 angeordnet, kleidet den Seitenwandabstandhalter 122 konform aus, und erstreckt sich über den Hartmaskenisolator 120. Die Ätzstoppschicht 126 kann eine obere Oberfläche des Hartmaskenisolators 120 direkt kontaktieren und bedecken. Die obere Elektrode-Durchkontaktierung 132 kann eine untere Landung auf einer vertieften oberen Oberfläche der oberen Elektrode 118 aufweisen. Die Ätzstoppschicht 126 und der Hartmaskenisolator 120 können beide eine untere Seitenwand der Barriere-Auskleidung 131 oder der oberen Elektrode-Durchkontaktierung 132 kontaktieren und innere Seitenwandflächen aufweisen, die im Wesentlichen fluchtend oder koplanar sind.
  • Wie vorstehend erwähnt, kann die Speicherzelle 114 eine beliebige anwendbare nichtflüchtige Speicherzelle sein, wie beispielsweise eine magnetoresistive Direktzugriffsspeicherzelle (MRAM-Zelle) und eine resistive Direktzugriffsspeicherzelle (RRAM-Zelle). 6 zeigt eine Querschnittsansicht 600 eines weiteren Beispiels einer Speicherzelle mit isolierender Hartmaske nach einigen zu 4 und 5 alternativen Ausführungsformen. Wie in 6 gezeigt, manchmal auch als SOT-MRAM (Spin-Orbit Torque-MRAM) bezeichnet, erfolgt das Schalten des Widerstandsschaltdielektrikums 116 durch Injektion eines in der Ebene fließenden Stroms in eine benachbarte SOT-Schicht 112'. Somit wird ein MTJ mit drei Anschlüssen bereitgestellt, der einen Lesepfad 602 von einem Schreibpfad 604 isoliert und dadurch die Lebensdauer und Lesestabilität der Vorrichtung verbessert. Aufgrund der SOT-Spintransfer-Geometrie ist ferner die Inkubationszeit vernachlässigbar, was einen schnelleren und zuverlässigeren Schaltvorgang ermöglicht.
  • 7 zeigt eine Querschnittsansicht einer integrierten Schaltung 700 einschließlich der Speicherzelle 114 gemäß einigen weiteren Ausführungsformen. Die Speicherzelle 114 kann eine ähnliche Struktur haben wie jede der in 4-6 gezeigten und vorstehend beschriebenen Speicherzellen 114. Wie in 7 dargestellt, kann die Speicherzelle 114 über dem Substrat 102 angeordnet sein. Das Substrat 102 kann beispielsweise ein Bulk-Substrat (beispielsweise ein Silizium-Bulk-Substrat) oder ein Silizium-auf-Isolator-Substrat (SOI-Substrat) sein. Ein oder mehrere STI-Bereiche 244 oder mit Oxid gefüllte Gräben sind in dem Substrat 102 angeordnet. Ein Paar von Wortleitungstransistoren 206, 208 ist zwischen den STI-Bereichen 244 angeordnet. Die Wortleitungstransistoren 206, 208 verlaufen parallel zueinander und weisen Wortleitungsgates 210, die von dem Substrat 102 durch Wortleitungsdielektrikumschichten 212 getrennt sind, und Source/Drain-Regionen 214, 216 auf. Die Source/Drain-Regionen 214, 216 sind in die Oberfläche des Substrats 102 zwischen den Wortleitungsgates 210 und den STI-Regionen 244 eingebettet. Die Wortleitungsgates 210 können beispielsweise dotiertes Polysilizium oder ein Metall wie Titannitrid oder Tantalnitrid sein. Die Wortleitungsdielektrikumschichten 212 können beispielsweise ein Oxid wie Siliziumdioxid sein. Eine unterste ILD-Schicht 238 ist über den Wortleitungstransistoren 206, 208 angeordnet. Die unterste ILD-Schicht 238 kann beispielsweise ein Oxid sein.
  • Ein Back-End-of-Line-Metallisierungsstapel (BEOL-Metallisierungsstapel) 218 ist über den Wortleitungstransistoren 206, 208 angeordnet. Der BEOL-Metallisierungsstapel 218 weist mehrere Metallisierungsschichten 222, 224, 226 auf, die jeweils innerhalb der jeweiligen dielektrischen Zwischenschicht 220, 228, 230 angeordnet sind. Die Metallisierungsschichten 222, 224, 226 können beispielsweise ein Metall wie Kupfer oder Aluminium sein. Die dielektrischen Zwischenschichtschichten 220, 228, 230 können beispielsweise ein niedrig-k Dielektrikum wie poröses undotiertes Silikatglas, oder ein Oxid wie Siliziumdioxid sein. Ätzstoppschichten 126, 242 können angeordnet sein, um die dielektrischen Zwischenschichten 220, 228, 230 voneinander zu trennen. Die Metallisierungsschichten 222, 224, 226 weisen eine Source-Leitung 232 auf, die mit einer Source/Drain-Region 214 gekoppelt ist, welche von den Wortleitungstransistoren 206, 208 gemeinsam genutzt wird. Ferner weisen die Metallisierungsschichten 222, 224, 226 eine Bitleitung auf, die mit der Speicherzelle 114 verbunden ist und ferner über mehrere Metallisierungsleitungen, wie beispielsweise Metallisierungsleitungen 106, 234, und mehrere Durchkontaktierungen, wie beispielsweise Durchkontaktierungen 132, 110, 240, mit einer Source/Drain-Region 216 des Wortleitungstransistors 206 oder des Wortleitungstransistors 208 verbunden ist. Ein Kontakt 236 erstreckt sich von der Metallisierungsleitung 234 durch die unterste ILD-Schicht 238, um die Source/Drain-Region 216 zu erreichen. Die Durchkontaktierungen 132, 110, 240 und der Kontakt 236 können beispielsweise ein Metall wie Kupfer, Gold oder Wolfram sein.
  • Die Speicherzelle 114 wird zwischen einer oberen Metallisierungsleitung 134 und einer unteren Metallisierungsleitung 106 eingefügt. Eine obere dielektrische Schicht 136 ist über der Speicherzelle 114 zwischen den dielektrischen Zwischenschichten 228, 230 angeordnet. Die obere dielektrische Schicht 136 kann ein Oxid sein. Obwohl die Speicherzelle 114 in 7 als zwischen der oberen Metallisierungsschicht 226 und der unteren Metallisierungsschicht 224 eingefügt dargestellt ist, ist es denkbar, dass die Speicherzelle 114 zwischen zwei beliebigen Metallisierungsschichten des BEOL-Metallisierungsstapels 218 eingefügt werden kann.
  • Ähnlich wie vorstehend mit Bezug auf 4-6 beschrieben, weist die Speicherzelle 114 die untere Elektrode 112 auf, die mit der unteren Elektrode-Durchkontaktierung 110 verbunden ist oder diese nahtlos kontaktiert. Das Widerstandsschaltdielektrikum 116 ist über der unteren Elektrode 112 angeordnet. Die obere Elektrode 118 ist über dem Widerstandsschaltdielektrikum 116 angeordnet. Der Hartmaskenisolator 120 ist auf der oberen Elektrode 118 angeordnet und sein obere Oberfläche ist von der Ätzstoppschicht 126 bedeckt. Der Seitenwandabstandhalter 122 kann auf der oberen Oberfläche der unteren Elektrode 112 angeordnet sein und erstreckt sich nach oben entlang der Seitenwände des Widerstandsschaltdielektrikums 116 und der oberen Elektrode 118, wie in 4 dargestellt. Alternativ kann der Seitenwandabstandhalter 122 auch auf der oberen Oberfläche der unteren dielektrischen Schicht 108 oder anderen dielektrischen Materialien angeordnet sein und erstreckt sich nach oben entlang der Seitenwände der unteren Elektrode 112, des Widerstandsschaltdielektrikums 116 und der oberen Elektrode 118, wie in 5 dargestellt. Die Ätzstoppschicht 126 ist auf der oberen Oberfläche der unteren dielektrischen Schicht 108 oder anderen dielektrischen Materialien angeordnet und erstreckt sich nach oben entlang der Seitenwände des Seitenwandabstandhalters 122. Die obere Elektrode-Durchkontaktierung 132 verbindet die obere Metallisierungsleitung 134 und die obere Elektrode 118 miteinander. Die obere Elektrode-Durchkontaktierung 132 kann eine untere Oberfläche haben, die auf einer vertieften oberen Oberfläche der oberen Elektrode 118 aufliegt. Der Hartmaskenisolator 120 kann ein Teilrest der ersten Isolierschicht 1108, die in 2 oder 3 gezeigt ist, aus dem Speicherzellen-Strukturierungsprozess sein. Die erste Isolierschicht 1108 ist unten an dem Hartmaskenstapel angeordnet und kontaktiert die obere Elektrodenschicht 1006 und trennt diese von der ersten Metallhartmaskenschicht 1110, so dass das Schrumpfungs- oder Einschnürungsproblem bei der oberen Elektrodenschicht 1006 oder der ersten Metallhartmaskenschicht 1110 verhindert wird (siehe 2 oder 3).
  • 8-19 veranschaulichen einige Ausführungsformen eines Verfahrens zur Herstellung einer integrierten Schaltung in Querschnittsansichten.
  • Wie in der Querschnittsansicht 800 der 8 gezeigt, wird eine untere Durchkontaktierungsöffnung 802 innerhalb einer unteren dielektrischen Schicht 108 gebildet, die über einer unteren Zwischenverbindungsstruktur 140 liegt. Die untere Zwischenverbindungsstruktur 140 weist eine untere Metallisierungsleitung 106 auf, die seitlich von einer unteren dielektrischen Zwischenschicht 104 umgeben ist. Die untere dielektrische Zwischenschicht 104 kann beispielsweise ein niedrig-k-Dielektrikum sein, und die untere Metallisierungsleitung 106 kann beispielsweise ein Metall wie Kupfer sein. Die untere dielektrische Schicht 108 ist über der unteren Zwischenverbindungsstruktur 140 gebildet, wobei die untere Durchkontaktierungsöffnung 802 die untere Metallisierungsleitung 106 freilegt. Die untere dielektrische Schicht 108 kann beispielsweise eine oder mehrere Schichten von Dielektrika wie Siliziumdioxid, Siliziumkarbid und/oder Siliziumnitrid aufweisen. Der Prozess zur Bildung der unteren Durchkontaktierungsöffnung 802 kann das Abscheiden der unteren dielektrischen Schicht 108 über der unteren Zwischenverbindungsstruktur 140 umfassen, gefolgt von einem Fotolithographieprozess. Eine Fotoresistschicht kann über der unteren dielektrischen Schicht 108 gebildet sein, die Bereiche der unteren dielektrischen Schicht 108 offenlegt, welche der zu bildenden unteren Durchkontaktierungsöffnung 802 entsprechen. Dann können ein oder mehrere Ätzmittel, die selektiv für die untere dielektrische Schicht 108 sind, gemäß der Fotoresistschicht aufgetragen werden. Nach dem Auftragen des einen oder der mehreren Ätzmittel kann die Fotolackschicht entfernt werden. Dann wird eine untere Elektrode-Durchkontaktierung 110 über der unteren dielektrischen Schicht 108 gebildet, die die untere Durchkontaktierungsöffnung 802 ausfüllt. Die untere Elektrode-Durchkontaktierung 110 kann beispielsweise aus einer oder mehreren Schichten leitfähiger Materialien wie Polysilizium, Titannitrid, Tantalnitrid, Platin, Gold, Iridium, Ruthenium, Wolfram oder dergleichen gebildet sein. Beispielsweise kann die untere Elektrode-Durchkontaktierung 110 eine Titannitridschicht sein, die durch einen Atomlagenabscheidungsprozess (ALD-Prozess) gebildet wird, gefolgt von einem Planarisierungsprozess.
  • Wie in der Querschnittsansicht 900 der 9 gezeigt, wird ein Speicherzellenstapel 204 einer Speicherzelle über der unteren dielektrischen Schicht 108 durch eine Reihe von Gasphasenabscheidungstechniken (beispielsweise physikalische Gasphasenabscheidung, chemische Gasphasenabscheidung usw.) abgeschieden. In einigen Ausführungsformen wird eine untere Elektrodenschicht 1002 über der unteren Elektrode-Durchkontaktierung 110 und der unteren dielektrischen Schicht 108 gebildet. Die untere Elektrodenschicht 1002 kann ein Metallnitrid (beispielsweise Titannitrid (TiN), Tantalnitrid (TaN) oder dergleichen) und/oder ein Metall (beispielsweise Titan (Ti), Tantal (Ta) oder dergleichen) enthalten. In einigen Ausführungsformen kann die untere Elektrodenschicht 1002 das gleiche Material sein wie die untere Elektrode-Durchkontaktierung und kann sogar in einem einzelnen Abscheidungsprozess zusammen mit der unteren Elektrode-Durchkontaktierung 110 gebildet sein. Anschließend kann ein Planarisierungsprozess durchgeführt werden, um eine planare obere Oberfläche für die untere Elektrodenschicht 1002 zu bilden. Dann wird eine Widerstandsschaltdielektrikumschicht 1004 über der unteren Elektrodenschicht 1002 gebildet. In einigen Ausführungsformen kann die Widerstandsschaltdielektrikumschicht 1004 eine magnetische Tunnelübergangsstruktur (MTJ-Struktur) mit einer gepinnten magnetischen Schicht und einer freien magnetischen Schicht aufweisen, die durch eine dielektrische Sperrschicht vertikal voneinander getrennt sind. In anderen Ausführungsformen kann die Widerstandsschaltdielektrikumschicht 1004 eine dielektrische RRAM-Datenspeicherschicht aufweisen. In einigen Ausführungsformen kann die Widerstandsschaltdielektrikumschicht 1004 ein Metalloxid-Verbundmaterial wie Hafnium-Aluminiumoxid (HfAlOx), Zirkoniumoxid (ZrOx), Aluminiumoxid (AlOx), Nickeloxid (NiOx), Tantaloxid (TaOx) oder Titanoxid (TiOx) enthalten. Eine obere Elektrodenschicht 1006 wird über der Widerstandsschaltdielektrikumschicht 1004 gebildet. Die oberste Elektrodenschicht 1006 kann eine oder mehrere leitfähigen Schichten aufweisen. In einigen Ausführungsformen kann die obere Elektrodenschicht 1006 Titannitrid (TiN) oder Tantalnitrid (TaN), ein Metall (beispielsweise Titan (Ti) oder Tantal (Ta), Kupfer) oder dergleichen enthalten. In einigen Ausführungsformen kann die obere Elektrodenschicht 1006 aus Wolfram gebildet sein oder zumindest Wolfram an der oberen Oberfläche enthalten, um einen guten Landekontakt zu gewährleisten. In einigen Ausführungsformen kann die obere Elektrodenschicht 1006 eine Dicke in einem Bereich von etwa 20 nm bis etwa 70 nm aufweisen.
  • Wie in der Querschnittsansicht 1000 der 10 und der Querschnittsansicht 1100 der 11 gezeigt, wird ein Hartmaskenstapel 202 über dem Speicherzellenstapel 204 gebildet. Der Hartmaskenstapel 202 kann eine erste Isolierschicht 1108, die unten gebildet ist und die obere Elektrodenschicht 1006 kontaktiert, und eine erste Metallhartmaskenschicht 1110 aufweisen, die auf der ersten Isolierschicht 1108 gebildet ist. Die erste Metallhartmaskenschicht 1110 kann eine zweite Art von Metallmaterial (beispielsweise Tantal) enthalten oder daraus gebildet sein, die von derjenigen der oberen Elektrodenschicht 1006 (beispielsweise Wolfram) verschieden ist. Die erste Isolierschicht 1108 ist unten an dem Hartmaskenstapel angeordnet und kontaktiert die obere Elektrodenschicht 1006 und trennt diese von der ersten Metallhartmaskenschicht 1110, so dass das Schrumpfungs- oder Einschnürungsproblem bei der ersten Metallhartmaskenschicht 1110 (oder der oberen Elektrodenschicht 1006) verhindert wird. In einigen weiteren Ausführungsformen kann der Hartmaskenstapel 202 mehr als einen Satz übereinander gestapelter Metallhartmaskenschichten und Isolierschichten umfassen. Die zusätzlichen Sätze von Metallhartmaskenschichten und Isolierschichten bieten eine größere Maskendicke für die Strukturierung der Speicherzelle. Beispielsweise kann eine zweite Isolierschicht 1112 auf der ersten Metallhartmaskenschicht 1110 gebildet sein und eine zweite Metallhartmaskenschicht 1114 kann auf der zweiten Isolierschicht 1112 gebildet sein. Die zweite Isolierschicht 1112 trennt die erste Metallhartmaskenschicht 1110 und die zweite Metallhartmaskenschicht 1114 voneinander, so dass das Schrumpfungs- oder Einschnürungsproblem bei der ersten Metallhartmaskenschicht 1110 oder der zweiten Metallhartmaskenschicht 1114 verhindert wird. Die zweite Metallhartmaskenschicht 1114 kann eine dritte Art von Metallmaterial enthalten, die von derjenigen der ersten Metallhartmaskenschicht 1110 oder der oberen Elektrodenschicht 1006 (beispielsweise Tantalnitrid) verschieden ist. Die zweite Isolierschicht 1112 kann das gleiche oder ein anderes dielektrisches Material als dasjenige der ersten Isolierschicht 1108 enthalten (beispielsweise Siliziumdioxid). Die erste Isolierschicht 1108 und die zweite Isolierschicht 1110 können durch Abscheidungstechniken wie chemische Gasphasenabscheidung (CVD), physikalische Gasphasenabscheidung (PVD) oder andere Anwendungsverfahren gebildet werden. In einigen Ausführungsformen können die erste Isolierschicht 1108 und/oder die zweite Isolierschicht 1112 jeweils eine Dicke in einem Bereich von etwa 3 nm bis etwa 10 nm aufweisen. Die erste Isolierschicht 1108 und/oder die zweite Isolierschicht 1112 können jeweils Siliciumdioxid, Siliciumnitrid, Siliciumcarbid oder eine Kombination hiervon enthalten oder daraus hergestellt sein. Andere dielektrische Materialien zur Herstellung der ersten Isolierschicht 1108 und/oder der zweiten Isolierschicht 1112 fallen in den Umfang der Offenbarung.
  • Wie in der Querschnittsansicht 1100 der 11 gezeigt, kann eine erste dielektrische Hartmaskenschicht 1116 über den Sätzen von Metallhartmaskenschicht und Isolierschicht gebildet werden. In einigen weiteren Ausführungsformen kann der Hartmaskenstapel 202 mehr als eine dielektrische Hartmaskenschicht aufweisen, die übereinander gestapelt sind, um eine größere Maskendicke für die Strukturierung der Speicherzelle zu erreichen. Die dielektrischen Hartmaskenschichten können durch einen amorphen Kohlenstofffilm und/oder einen diamantähnlichen Kohlenstofffilm getrennt sein. Beispielsweise kann ein amorpher Kohlenstofffilm 1118 auf der ersten dielektrischen Hartmaskenschicht 1116 und eine zweite dielektrische Hartmaskenschicht 1120 auf dem amorphen Kohlenstofffilm 1118 gebildet werden. Die erste dielektrische Hartmaskenschicht 1116 und die zweite dielektrische Hartmaskenschicht 1120 können gleiche oder unterschiedliche dielektrische Materialien wie Siliziumoxynitrid (SiON) oder Siliziumkarbid enthalten.
  • Wie in der Querschnittsansicht 1100 der 11 ferner dargestellt, wird eine Strukturierungsschicht 1202 über dem Hartmaskenstapel 202 gebildet. Die Strukturierungsschicht 1202 kann eine untere Antireflexschicht (BARC-Schicht) 1204 und eine Fotoresistschicht 1206 aufweisen, die auf die BARC-Schicht 1204 aufgeschleudert (Aufschleuderbeschichtung) und strukturiert wird, beispielsweise mittels einer Doppelstrukturierungstechnik.
  • Wie in der Querschnittsansicht 1200 der 12 beispielhaft gezeigt, können die zweite dielektrische Hartmaskenschicht 1120 und der amorphe Kohlenstofffilm 1118 durch eine erste Ätzreihe gemäß der Strukturierungsschicht 1202 strukturiert werden. Bei zusammenfügenden-Knoten-Herstellungsprozessen (Emerging Nodes-Herstellungsprozessen) ist die Dicke der Fotoresistschicht 1206 ziemlich begrenzt und kann eine darunter liegende Schicht nur mit einer begrenzten Dicke strukturieren. Der strukturierte amorphe Kohlenstofffilm 1118 wird als eine übertragene Maskenschicht verwendet, um die erste dielektrische Hartmaskenschicht 1116 zu strukturieren. Der amorphe Kohlenstofffilm 1118 kann eine etwa 1,2-mal bis 2,5-mal größere Dicke als die Fotoresistschicht 1206 aufweisen. Die erste dielektrische Hartmaskenschicht 1116 kann eine Dicke aufweisen, die 2-mal bis 4-mal größer als die der zweiten dielektrischen Hartmaskenschicht 1120 ist. Die strukturierte zweite dielektrische Hartmaskenschicht 1120 kann nach der Strukturierung des amorphen Kohlenstofffilms 1118 entfernt werden. Der strukturierte amorphe Kohlenstofffilm 1118 kann nach der Strukturierung der ersten dielektrischen Hartmaskenschicht 1116 entfernt werden.
  • Wie in der Querschnittsansicht 1300 der 13 beispielhaft dargestellt, werden die Sätze der Metallhartmaskenschichten und Isolierschichten (beispielsweise 1114, 1112, 1110, 1108) durch eine zweite Ätzreihe gemäß der strukturierten ersten dielektrischen Hartmaskenschicht 1116 strukturiert. Die erste Isolierschicht 1108 kann gemäß den Metallhartmaskenschichten (beispielsweise 1114, 1110) strukturiert werden, um einen Hartmaskenisolator 120 zu bilden. Die erste dielektrische Hartmaskenschicht 1116 kann während des Strukturierungsprozesses teilweise verbraucht werden. In einigen Ausführungsformen kann der Strukturierungsprozess einen Trockenätzprozess umfassen, der eine Ätzmittelchemie mit CF4, CH2F2, C12, BCl3 und/oder anderen Chemikalien aufweisen kann. Die zweite Metallhartmaskenschicht 1114 kann Tantalnitrid enthalten und kann durch ein Ätzmittel strukturiert werden, das SF6, CF4, CH2F2, CHF3, Cl2, BCl3 und/oder andere Chemikalien enthält. Die erste Metallhartmaskenschicht 1110 kann Tantal enthalten und kann durch ein Ätzmittel strukturiert werden, das SF6, CF4, CH2F2, CHF3, C12, BCl3 und/oder andere Chemikalien enthält.
  • Wie in der Querschnittsansicht 1400 der 14 gezeigt, wird die obere Elektrodenschicht 1006 gemäß dem strukturierten Hartmaskenstapel 202 zu einer oberen Elektrode 118 strukturiert. Der Hartmaskenstapel 202 weist die strukturierte erste Metallhartmaskenschicht 1110 und den Hartmaskenisolator 120 auf und kann ferner einige verbleibende Maskenschichten über der ersten Metallhartmaskenschicht 1110 aufweisen. Die obere Elektrodenschicht 1006 kann Wolfram enthalten und durch ein Ätzmittel, das SF6, CF4, CHF3 und oder andere Chemikalien enthält, strukturiert werden.
  • Wie in der Querschnittsansicht 1500 der 15 gezeigt, wird die Widerstandsschaltdielektrikumschicht 1004 (in FIG. 134) strukturiert, um ein Widerstandsschaltdielektrikum 116 gemäß dem Hartmaskenstapel 202 und die obere Elektrode 118 zu bilden. Während des Strukturierungsprozesses kann der Hartmaskenstapel 202 teilweise entfernt oder reduziert werden. Die untere Elektrodenschicht 1002 kann freigelegt werden. Bei einigen Ausführungsformen können die Seitenwände des Widerstandsschaltdielektrikums 116 und der oberen Elektrode 118 geneigt und bündig angeordnet werden (beispielsweise koplanar). In einigen Ausführungsformen kann der Strukturierungsprozess ein Trockenätzen oder Ionenstrahlätzen oder einen kombinierten Prozess umfassen, der eine Ätzmittelchemie aufweisen kann, die CF4, CH2F2, C12, BCl3 , CO/NH3, CH3OH, CH4, H2, Ar, Kr, Xe und/oder andere Chemikalien enthält.
  • Wie in der Querschnittsansicht 1600 der 16 gezeigt, kann ein Seitenwandabstandhalter 122 entlang der Seitenwandflächen des Widerstandsschaltdielektrikums 116, der oberen Elektrode 118 und des Hartmaskenisolators 120 gebildet werden. In einigen Ausführungsformen kann der Seitenwandabstandhalter 122 durch das Bilden einer dielektrischen Abstandhalterschicht entlang einer oberen Oberfläche der unteren Elektrodenschicht 1002 gebildet werden, die sich entlang der Seitenwandflächen des Widerstandsschaltdielektrikums 116, der oberen Elektrode 118, des Hartmaskenisolators 120 und des Hartmaskenstapels 202 erstreckt und eine obere Oberfläche des Hartmaskenstapels 202 bedeckt. Die dielektrische Abstandhalterschicht kann Siliziumnitrid, Tetraethylorthosilikat (TEOS), siliziumreiches Oxid (SRO) oder ein ähnliches dielektrischen Verbundfilm enthalten. In einigen Ausführungsformen kann die dielektrische Abstandhalterschicht durch eine Gasphasenabscheidungstechnik (beispielsweise physikalische Gasphasenabscheidung, chemische Gasphasenabscheidung, usw.) gebildet werden. Dann wird ein anisotropes Ätzen (beispielsweise ein vertikales Ätzen) durchgeführt, um seitliche Ausdehnungen der dielektrischen Abstandhalterschicht zu entfernen, was den Seitenwandabstandhalter 122 entlang der Seitenwandflächen des Widerstandsschaltdielektrikums 116 und der oberen Elektrode 118 ergibt. Als Ergebnis der Entfernung der seitlichen Ausdehnungen der dielektrischen Abstandhalterschicht kann die untere Elektrodenschicht 1002 freigelegt werden. Der Hartmaskenstapel 202 und ein oberer Teil des Hartmaskenisolators 120 können während des Ätzprozesses entfernt werden.
  • Wie in der Querschnittsansicht 1700 der 17 gezeigt, wird eine Ätzung durchgeführt, um die untere Elektrodenschicht 1002 zu strukturieren und eine untere Elektrode 112 gemäß dem Seitenwandabstandhalter 122 zu bilden. Die Ätzung kann eine Trockenätzung wie beispielsweise ein Plasmaätzverfahren umfassen, die eine Ätzmittelchemie mit CF4, CH2F2, C12, BCl3 und/oder anderen Chemikalien enthalten kann. Als Ergebnis des Ätzprozesses kann die untere Elektrode 112 Seitenwände aufweisen, die mit denjenigen des Seitenwandabstandhalters 122 bündig angeordnet sind, und eine untere dielektrische Schicht 108 kann freigelegt sein.
  • Wie in der Querschnittsansicht 1800 der 18 gezeigt, kann eine Ätzstoppschicht 126 gebildet werden, um das Werkstück konform auszukleiden. Die Ätzstoppschicht 126 kann Siliziumnitrid, Tetraethylorthosilikat (TEOS), siliziumreiches Oxid (SRO) oder einen ähnlichen dielektrischen Verbundfilm enthalten. In einigen Ausführungsformen kann die Ätzstoppschicht 126 durch eine Gasphasenabscheidungstechnik (beispielsweise physikalische Gasphasenabscheidung, chemische Gasphasenabscheidung, usw.) gebildet werden. Die Ätzstoppschicht 126 wird gebildet, um den Schutz der Speicherzelle vor der oberen Elektrode-Durchkontaktierungsöffnung und dem Landungsprozess bereitzustellen, wie nachstehend beschrieben wird. Beispielsweise kann die Ätzstoppschicht 126 eine Dicke in einem Bereich von etwa 20 nm bis etwa 25 nm haben.
  • Wie in der Querschnittsansicht 1800 der 18 ferner gezeigt, wird eine obere dielektrische Schicht 136 über der Speicherzelle und um diese herum gebildet. Die obere dielektrische Schicht 136 kann zum Beispiel ein niedrig-k-Dielektrikum oder ein extrem-niedrig-k-Dielektrikum sein. Bei einigen Ausführungsformen umfasst der Prozess zur Bildung der oberen dielektrischen Schicht 136 das Abscheiden einer dielektrischen Zwischenschicht und das Durchführen des chemisch-mechanischen Polierens (CMP) in der dielektrischen Zwischenschicht, um die obere Oberfläche der dielektrischen Zwischenschicht zu planarisieren.
  • Wie in der Querschnittsansicht 1900 der 19 gezeigt, wird eine obere Elektrode-Durchkontaktierungsöffnung 1902 durch die obere dielektrische Schicht 136 und durch den harten Maskenisolator 120 gebildet und reicht zu der oberen Elektrode 118. Dann wird eine leitfähige Schicht gebildet, die die obere Elektrode-Durchkontaktierungsöffnung 1902 ausfüllt, um eine obere Elektrode-Durchkontaktierung 132 zu bilden. Die leitfähige Schicht kann beispielsweise ein Metall wie Kupfer oder Wolfram sein. Der Prozess zur Bildung der leitfähigen Schicht kann das Abscheiden einer leitfähigen Zwischenschicht umfassen, die die obere Elektrode-Durchkontaktierungsöffnung 1902 ausfüllt und über die obere dielektrische Schicht 136 hinausragt, um die obere Elektrode-Durchkontaktierung 132 zu bilden und eine obere Metallisierungsleitung 134 zu bilden. Fotolithografie kann dann verwendet werden, um die leitfähige Schicht zu strukturieren. In einigen Ausführungsformen können die obere Elektrode-Durchkontaktierung 132 und die obere Metallisierungsleitung 134 durch einen einzelnen Damaszener-Prozess, einen Doppeldamaszener-Prozess, bei dem ein Graben oder eine Durchkontaktierung zuerst gebildet wird, oder andere anwendbare Metallfüllprozesse gebildet werden. Als Ergebnis der Ausfüllung kann die obere Elektrode-Durchkontaktierung 132 eine untere Fläche haben, die eine vertiefte obere Oberfläche der oberen Elektrode 118 berührt.
  • 20 zeigt einige Ausführungsformen eines Flussdiagramms eines Verfahrens 2000 zur Bildung einer Speichervorrichtung. Obwohl das Verfahren 2000 mit Bezug auf 8-19 beschrieben wird, ist es zu verstehen, dass das Verfahren 2000 nicht auf die in 8-19 dargestellten Strukturen beschränkt ist, sondern eigenständig und unabhängig von den in 8-19 dargestellten Strukturen ausgeführt werden kann. Ebenso ist zu berücksichtigen, dass die in 8-19 dargestellten Strukturen nicht auf das Verfahren 2000 beschränkt sind, sondern als eigenständige Strukturen unabhängig von dem Verfahren 2000 umgesetzt werden können. Auch wenn die hierin beschriebenen Verfahren (beispielsweise das Verfahren 2000) im Folgenden als eine Reihe von Vorgängen oder Ereignissen dargestellt und beschrieben werden, ist es zu berücksichtigen, dass die dargestellte Reihenfolge dieser Vorgänge oder Ereignisse nicht in einem einschränkenden Sinne zu interpretieren ist. Beispielsweise können einige Vorgänge in einer anderen Reihenfolge und/oder gleichzeitig mit anderen Vorgängen oder Ereignissen als/wie hierin dargestellt und/oder beschrieben auszuführen sein. Darüber hinaus kann es sein, dass nicht alle hierin veranschaulichten Vorgänge erforderlich sind, um einen oder mehrere Aspekte oder Ausführungsformen der hierin enthaltenen Beschreibung umzusetzen. Darüber hinaus können eine oder mehrere der hier dargestellten Vorgänge in einem oder mehreren separaten Vorgängen und/oder Phasen ausgeführt werden.
  • Bei Vorgang 2002 wird ein Speicherzellenstapel über einer unteren Zwischenverbindungsstruktur eines Substrats gebildet. Der Speicherzellenstapel kann mindestens eine untere Elektrodenschicht, eine Widerstandsschaltdielektrikumschicht und eine obere Elektrodenschicht über dem Substrat aufweisen. Die untere Zwischenverbindungsstruktur kann eine untere Metallisierungsleitung aufweisen, die seitlich von einer unteren dielektrischen Zwischenschicht umgeben ist. Eine untere Durchkontaktierung wird durch die untere dielektrische Schicht gebildet, um die untere Metallisierungsleitung elektrisch mit der unteren Elektrodenschicht zu koppeln. Die untere Elektrode-Durchkontaktierung kann eine Titannitridschicht sein, die durch einen Atomlagenabscheidungsprozess (ALD-Prozess) gebildet wird, gefolgt von einem Planarisierungsprozess. Der Speicherzellenstapel kann über der unteren dielektrischen Schicht durch eine Reihe von Gasphasenabscheidungstechniken (beispielsweise physikalische Gasphasenabscheidung, chemische Gasphasenabscheidung, usw.) abgeschieden werden. Bei einigen Ausführungsformen kann die untere Elektrodenschicht ein Metallnitrid (beispielsweise Titannitrid (TiN), Tantalnitrid (TaN) oder dergleichen) und/oder ein Metall (beispielsweise Titan (Ti), Tantal (Ta) oder dergleichen) enthalten. In einigen Ausführungsformen kann die Widerstandsschaltdielektrikumschicht eine magnetische Tunnelübergangsstruktur (MTJ-Struktur) mit einer gepinnten magnetischen Schicht und einer freien magnetischen Schicht aufweisen, die durch eine dielektrische Sperrschicht vertikal voneinander getrennt sind. In anderen Ausführungsformen kann die Widerstandsschaltdielektrikumschicht eine dielektrische RRAM-Datenspeicherschicht umfassen. In einigen Ausführungsformen kann die obere Elektrodenschicht Titannitrid (TiN) oder Tantalnitrid (TaN), ein Metall (beispielsweise Titan (Ti) oder Tantal (Ta), Kupfer, usw.) aufweisen. 8-9 zeigen einige Ausführungsformen der Querschnittsansichten 800 und 900, die dem Vorgang 2002 entsprechen.
  • Bei Vorgang 2004 wird ein Hartmaskenstapel über dem Speicherzellenstapel gebildet. Der Hartmaskenstapel kann eine erste Isolierschicht, die unten gebildet wird und die obere Elektrodenschicht kontaktiert, und eine erste Metallhartmaskenschicht aufweisen, die auf der ersten Isolierschicht gebildet wird. Die erste Metallhartmaskenschicht kann eine zweite Art von Metallmaterial (beispielsweise Tantal) enthalten, die von derjenigen der oberen Elektrodenschicht (beispielsweise Wolfram) verschieden ist. Die erste Isolierschicht trennt die obere Elektrodenschicht von der ersten Metallhartmaskenschicht, so dass das Schrumpfungs- oder Einschnürungsproblem bei der ersten Metallhartmaskenschicht (oder der oberen Elektrodenschicht) verhindert wird. In einigen weiteren Ausführungsformen kann der Hartmaskenstapel aus mehr als einem Satz übereinander gestapelter Metallhartmaskenschichten und Isolierschichten gebildet sein. Die zusätzlichen Sätze von Metallhartmaskenschichten und Isolierschichten bieten eine größere Maskendicke für die Strukturierung der Speicherzelle. Eine erste dielektrische Hartmaskenschicht kann über den Sätzen aus Metallhartmaskenschicht und Isolierschicht gebildet werden. In einigen weiteren Ausführungsformen kann der Hartmaskenstapel ferner mehr als eine dielektrische Hartmaskenschicht aufweisen, die übereinander gestapelt sind, um eine größere Maskendicke für die Strukturierung der Speicherzelle zu erreichen. Die dielektrischen Hartmaskenschichten können durch einen amorphen Kohlenstofffilm oder einen diamantähnlichen Kohlenstofffilm getrennt sein. 10-11 zeigen einige Ausführungsformen der Querschnittsansichten 1000 und 1100 entsprechend dem Vorgang 2004.
  • Bei Vorgang 2006 wird der Hartmaskenstapel strukturiert. Die dielektrischen Hartmaskenschichten können durch eine erste Ätzreihe gemäß einer Strukturierungsschicht strukturiert werden. Die Metallhartmaskenschichten und Isolierschichten (beispielsweise 1114, 1112, 1110, 1108) werden durch eine zweite Ätzreihe gemäß der strukturierten dielektrischen Hartmaskenschicht strukturiert. Die erste Isolierschicht kann geätzt werden, um einen Hartmaskenisolator auf der oberen Elektrodenschicht zu bilden. 12-13 zeigen einige Ausführungsformen der Querschnittsansichten 1200 und 1300 entsprechend dem Vorgang 2006.
  • Bei Vorgang 2008 wird der Speicherzellenstapel strukturiert. In einigen Ausführungsformen wird die oberste Elektrodenschicht gemäß dem strukturierten Hartmaskenstapel strukturiert, um eine obere Elektrode zu bilden. Die Widerstandsschaltdielektrikumschicht kann gemäß der oberen Elektrode strukturiert werden, um ein Widerstandsschaltdielektrikum zu bilden. Während des Strukturierungsprozesses kann der Hartmaskenstapel teilweise entfernt oder verringert werden. Bei einigen Ausführungsformen können die Seitenwände des Widerstandsschaltdielektrikums und der oberen Elektrode geneigt und bündig angeordnet werden (beispielsweise koplanar). 14-15 zeigen einige Ausführungsformen der Querschnittsansichten 1400 und 1500 entsprechend dem Vorgang 2008.
  • Bei Vorgang 2010 wird, in einigen Ausführungsformen, ein Seitenwandabstandhalter auf der unteren Elektrodenschicht und entlang der Seitenwände der Widerstandsschaltdielektrikumschicht und der oberen Elektrode gebildet. Der Seitenwandabstandhalter kann durch Abscheidung einer dielektrischen Abstandhalterschicht durch eine Gasphasenabscheidungstechnik (beispielsweise chemische Gasphasenabscheidung usw.) entlang einer oberen Oberfläche der unteren Elektrodenschicht gebildet werden, die sich entlang der Seitenwandflächen des Widerstandsschaltdielektrikums, der oberen Elektrode und der Hartmaske erstreckt und eine obere Oberfläche der Hartmaske bedeckt. Dann wird eine anisotrope Ätzung (beispielsweise eine vertikale Ätzung) durchgeführt, um eine Struktur zu erzeugen und eine untere Elektrode gemäß dem Seitenwandabstandhalter und dem Hartmaskenisolator zu bilden. 16 zeigt einige Ausführungsformen einer Querschnittsansicht 1600 entsprechend dem Vorgang 2010.
  • Bei Vorgang 2012 wird in einigen Ausführungsformen die untere Elektrodenschicht gemäß dem Seitenwandabstandhalter strukturiert, um eine untere Elektrode zu bilden. In einigen Ausführungsformen können die Seitenwände der untere Elektrode und des Seitenwandabstandhalters geneigt und bündig angeordnet werden (beispielsweise koplanar). 17 zeigt einige Ausführungsformen einer Querschnittsansicht 1700 entsprechend dem Vorgang 2012.
  • Bei Vorgang 2014 kann eine Ätzstoppschicht durch eine Gasphasenabscheidungstechnik (beispielsweise physikalische Gasphasenabscheidung, chemische Gasphasenabscheidung, usw.) gebildet werden, um den Schutz der Speicherzelle vor der oberen Elektrode-Durchkontaktierungsöffnung und dem Landungsvorgang bereitzustellen. Eine dielektrische Schicht wird über der Speicherzelle und um diese herum gebildet, indem eine dielektrische Zwischenschicht abgeschieden und ein chemisch-mechanisches Polieren (CMP) in der dielektrischen Zwischenschicht durchgeführt wird, um die obere Oberfläche der dielektrischen Zwischenschicht zu planarisieren. 18 zeigt einige Ausführungsformen einer Querschnittsansicht 1800 entsprechend dem Vorgang 2014.
  • Bei Vorgang 2016 wird ein obere Elektrode-Durchkontaktierung durch die dielektrische Schicht, die Ätzstoppschicht und den Hartmaskenisolator gebildet und reicht bis auf die obere Elektrode. Eine obere Metallisierungsleitung wird auf der oberen Elektrode-Durchkontaktierung gebildet, wobei die obere Metallisierungsleitung über die dielektrische Schicht hinausragen kann. Die obere Elektrode-Durchkontaktierung kann eine untere Fläche aufweisen, die mit einer vertieften oberen Oberfläche der oberen Elektrode in Kontakt steht. 19 veranschaulicht einige Ausführungsformen einer Querschnittsansicht 1900 entsprechend dem Vorgang 2016.
  • Es ist denkbar, dass zwar bei der Erörterung von Aspekten der hier beschriebenen Prinzipien im gesamten Text dieses Dokuments auf beispielhafte Strukturen Bezug genommen wird, dass diese Prinzipien jedoch nicht durch die entsprechenden vorgestellten Strukturen eingeschränkt werden sollen. Vielmehr sind die Verfahren (und Strukturen) als unabhängig voneinander zu betrachten und können unabhängig von den in den Zeichnungen dargestellten Aspekten allein stehen und praktiziert werden. Zusätzlich können die hier beschriebenen Schichten auf jede geeignete Art und Weise gebildet werden, beispielsweise durch Aufschleuderbeschichtung, Sputtern, Wachstumstechniken und/oder Abscheidungstechniken, usw.
  • Ebenso kann der Fachmann äquivalente Änderungen und/oder Modifikationen auf der Grundlage des Lesens und/oder Verstehens der Spezifikation und der beigefügten Zeichnungen erkennen und vornehmen. Die hierin enthaltene Offenbarung schließt solche Änderungen und Modifikationen ein und soll im Allgemeinen nicht dadurch eingeschränkt werden. Obwohl die hierin enthaltenen Zeichnungen beispielhaft so dargestellt und beschrieben sind, dass sie einen bestimmten Verunreinigungstyp aufweisen, ist es denkbar, dass alternative Verunreinigungstypen verwendet werden können, wie es der Fachmann auf diesem Gebiet erkennen wird.
  • Wie aus dem Vorstehenden erkennbar, bietet die vorliegende Offenbarung in einigen Ausführungsformen ein Verfahren zur Herstellung einer integrierten Schaltung (IC). Bei dem Verfahren wird ein Speicherzellenstapel über einem Substrat gebildet, das eine untere Elektrodenschicht, eine Widerstandsschaltdielektrikumschicht über der unteren Elektrodenschicht und eine obere Elektrodenschicht über der Widerstandsschaltdielektrikumschicht aufweist. Eine erste Isolierschicht wird über der oberen Elektrodenschicht gebildet. Eine erste Metallhartmaskenschicht wird über der ersten Isolierschicht gebildet. Dann wird eine Reihe von Ätzvorgängen durchgeführt, um die erste Metallhartmaskenschicht, die erste Isolierschicht, die obere Elektrodenschicht und die Widerstandsschaltdielektrikumschicht zu strukturieren, um eine erste metallische Hartmaske, einen Hartmaskenisolator, eine obere Elektrode und ein Widerstandsschaltdielektrikum zu bilden.
  • In einer anderen Ausführungsform bezieht sich die vorliegende Offenbarung auf ein Verfahren zur Herstellung einer integrierten Schaltung (IC). Bei dem Verfahren wird ein Speicherzellenstapel über einem Substrat gebildet, das eine untere Elektrodenschicht, eine Widerstandsschaltdielektrikumschicht über der unteren Elektrodenschicht und eine obere Elektrodenschicht über der Widerstandsschaltdielektrikumschicht aufweist. Über dem Speicherzellenstapel wird ein Hartmaskenstapel gebildet. Der Hartmaskenstapel umfasst eine erste isolierende Schicht unten, die die obere Elektrodenschicht kontaktiert, und eine erste Metallhartmaskenschicht, die ein Metallmaterial enthält, welches von dem der oberen Elektrodenschicht verschieden ist. Zur Strukturierung des Hartmaskenstapels, der oberen Elektrodenschicht, der Widerstandsschaltdielektrikumschicht und der unteren Elektrodenschicht wird eine Reihe von Ätzvorgängen durchgeführt, um einen Hartmaskenisolator, eine obere Elektrode, ein Widerstandsschaltdielektrikum und eine untere Elektrode zu bilden.
  • In einer weiteren Ausführungsform bezieht sich die vorliegende Offenbarung auf eine Speichervorrichtung. Die Speichervorrichtung weist eine untere Elektrode, die über einem Substrat angeordnet ist, und ein Widerstandsschaltdielektrikum auf, das über der unteren Elektrode angeordnet ist und einen variablen Widerstand aufweist. Eine obere Elektrode ist über dem Widerstandsschaltdielektrikum angeordnet. Ein Hartmaskenisolator ist direkt auf der oberen Elektrode angeordnet. Eine obere Elektrode ist durch den Hartmaskenisolator hindurch angeordnet und reicht bis auf die obere Elektrode. Der Hartmaskenisolator kontaktiert direkt die obere Elektrode und enthält ein isolierendes Material.
  • Die vorstehenden Ausführungsformen umreißen die Merkmale verschiedener Ausführungsformen, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann erkennt, dass die vorliegende Offenbarung ohne weiteres als Grundlage für die Gestaltung oder Änderung anderer Prozesse und Strukturen verwendet werden kann, um die gleichen Zwecke zu verwirklichen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen zu erreichen. Der Fachmann erkennt ferner, dass solche äquivalenten Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen und dass verschiedene Änderungen, Substitutionen und Modifikationen vorgenommen werden können, ohne vom Geist und Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren zur Herstellung einer Speicherzelle, umfassend: Ausbilden eines Speicherzellenstapels über einem Substrat, wobei der Speicherzellenstapel eine untere Elektrodenschicht, eine Widerstandsschaltdielektrikumschicht über der unteren Elektrodenschicht und eine obere Elektrodenschicht über der Widerstandsschaltdielektrikumschicht aufweist; Bilden einer ersten Isolierschicht über der oberen Elektrodenschicht; Bilden einer ersten Metallhartmaskenschicht über der ersten Isolierschicht; und Durchführen einer Ätzreihe, um die erste Metallhartmaskenschicht, der ersten Isolierschicht, der oberen Elektrodenschicht und der Widerstandsschaltdielektrikumschicht zu strukturieren, um eine erste Metallhartmaske, einen Hartmaskenisolator, eine obere Elektrode und ein Widerstandsschaltdielektrikum zu bilden.
  2. Verfahren nach Anspruch 1, wobei die obere Elektrodenschicht aus Wolfram gebildet ist und die erste Metallhartmaskenschicht aus Tantalnitrid gebildet ist.
  3. Verfahren nach Anspruch 1 oder 2, wobei die erste Isolierschicht aus Siliciumdioxid, Siliciumnitrid, Siliciumcarbid oder einer Kombination hiervon gebildet ist.
  4. Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend, vor der Durchführung der Ätzreihe: Bilden einer zweiten Isolierschicht über der ersten Metallhartmaskenschicht; und Bilden einer zweiten Metallhartmaskenschicht über der zweiten Isolierschicht, wobei die zweite Isolierschicht aus Siliciumdioxid gebildet ist und die zweite Metallhartmaskenschicht aus Tantal gebildet ist.
  5. Verfahren nach Anspruch 4, ferner umfassend, vor der Durchführung der Ätzreihe: Bilden einer ersten dielektrischen Hartmaskenschicht direkt auf der zweiten Metallhartmaskenschicht.
  6. Verfahren nach Anspruch 5, ferner umfassend, vor der Durchführung der Ätzreihe: Bilden eines amorphen Kohlenstofffilms über der ersten dielektrischen Hartmaskenschicht und Bilden einer zweiten dielektrischen Hartmaskenschicht über dem amorphen Kohlenstofffilm.
  7. Verfahren nach Anspruch 6, wobei die zweite dielektrische Hartmaskenschicht und der amorphe Kohlenstofffilm nach der Strukturierung der oberen Elektrodenschicht entfernt werden.
  8. Verfahren nach Anspruch 7, wobei die zweite Metallhartmaskenschicht und die zweite Isolierschicht nach der Strukturierung der Widerstandsschaltdielektrikumschicht entfernt werden.
  9. Verfahren nach einem der vorstehenden Ansprüche, wobei die erste Isolierschicht eine Dicke in einem Bereich von etwa 3 nm bis etwa 10 nm aufweist.
  10. Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend: Bilden einer dielektrischen Abstandhalterschicht über der unteren Elektrodenschicht, die sich entlang des Widerstandsschaltdielektrikums und der oberen Elektrode erstreckt, und sich weiter über den Hartmaskenisolator erstreckt.
  11. Verfahren nach Anspruch 10, ferner umfassend: Durchführen einer ersten Ätzung der dielektrischen Abstandhalterschicht, um einen Seitenwandabstandhalter entlang des Widerstandsschaltdielektrikums, der oberen Elektrode und des Hartmaskenisolators zu bilden; und Durchführen einer zweiten Ätzung, um die untere Elektrodenschicht gemäß dem Hartmaskenisolator und dem Seitenwandabstandhalter zu strukturieren, um eine untere Elektrode zu bilden, wobei die untere Elektrode eine Seitenwand aufweist, die mit der des Seitenwandabstandhalters bündig angeordnet ist.
  12. Verfahren nach Anspruch 11, ferner umfassend: Bilden einer Ätzstoppschicht über dem Substrat, die sich entlang der unteren Elektrode, des Seitenwandabstandhalters und ferner über den Hartmaskenisolator erstreckt; Bilden einer oberen dielektrischen Schicht über der Ätzstoppschicht und um diese herum; und Bilden einer oberen Elektrode-Durchkontaktierung, die sich durch die obere dielektrische Schicht und den Hartmaskenisolator erstreckt, um auf die obere Elektrode zu reichen.
  13. Verfahren nach einem der Ansprüche 10 bis 12, wobei die dielektrische Abstandhalterschicht direkt auf der unteren Elektrodenschicht gebildet wird.
  14. Verfahren zur Herstellung einer Speicherzelle, umfassend: Bilden eines Speicherzellenstapels über einem Substrat, wobei der Speicherzellenstapel eine untere Elektrodenschicht, eine Widerstandsschaltdielektrikumschicht über der unteren Elektrodenschicht und eine obere Elektrodenschicht über der Widerstandsschaltdielektrikumschicht aufweist; Bilden eines Hartmaskenstapels über dem Speicherzellenstapel, wobei der Hartmaskenstapel unten eine erste isolierende Schicht aufweist, die die obere Elektrodenschicht und eine erste Metallhartmaskenschicht kontaktiert, die aus einem Metallmaterial gebildet ist, das von dem der oberen Elektrodenschicht verschieden ist; und Durchführen einer Reihe von Ätzungen zur Strukturierung des Hartmaskenstapels, der oberen Elektrodenschicht, der Widerstandsschaltdielektrikumschicht und der unteren Elektrodenschicht zur Bildung eines Hartmaskenisolators, einer oberen Elektrode, eines Widerstandsschaltdielektrikums und einer unteren Elektrode.
  15. Verfahren nach Anspruch 14, ferner umfassend: Bilden eines Seitenwandabstandhalters über dem Substrat, der sich entlang der Seitenwände der unteren Elektrode, des Widerstandsschaltdielektrikums, der oberen Elektrode und des Hartmaskenisolators nach oben erstreckt; und Bilden einer Ätzstoppschicht direkt auf dem Seitenwandabstandhalter und einer oberen Oberfläche des Hartmaskenisolators, die den Seitenwandabstandhalter und die obere Oberfläche des Hartmaskenisolators konform auskleidet.
  16. Verfahren nach Anspruch 15, ferner umfassend: die obere Elektrode-Durchkontaktierung wird gebildet durch: Bilden einer oberen dielektrischen Schicht über der Ätzstoppschicht und um diese herum; und Durchführen einer Ätzung durch die obere dielektrische Schicht und den Hartmaskenisolator, um eine Durchkontaktierungsöffnung zu bilden; und Füllen der obere Elektrode-Durchkontaktierung mit einem Metallmaterial zur Bildung einer oberen Elektrode-Durchkontaktierung, die eine Seitenwand aufweist, welche den Hartmaskenisolator und die Ätzstoppschicht kontaktiert.
  17. Speicherzelle aufweisend: eine untere Elektrode, die über einem Substrat angeordnet ist; einem Widerstandsschaltdielektrikum, das über der unteren Elektrode angeordnet ist und einen variablen Widerstand aufweist; eine obere Elektrode, die über dem Widerstandsschaltdielektrikum angeordnet ist; einen Hartmaskenisolator, der direkt auf der oberen Elektrode angeordnet ist; und eine obere Elektrode-Durchkontaktierung, die durch den Hartmaskenisolator hindurch angeordnet ist und bis auf die obere Elektrode reicht, wobei der Hartmaskenisolator die obere Elektrode direkt kontaktiert und ein isolierendes Material enthält.
  18. Speicherzelle nach Anspruch 17, wobei die obere Elektrode aus Wolfram gebildet ist und der Hartmaskenisolator Siliziumdioxid mit einer Dicke in einem Bereich von etwa 3 nm bis etwa 10 nm enthält.
  19. Speicherzelle des Anspruchs 17 oder 18, ferner aufweisend: einen Seitenwandabstandhalter, der auf einer oberen Oberfläche der unteren Elektrode angeordnet ist und sich nach oben entlang der Seitenwände des Widerstandsschaltdielektrikums und der oberen Elektrode erstreckt; und eine Ätzstoppschicht, die den Seitenwandabstandhalter und den Hartmaskenisolator direkt und konform auskleidet, wobei der Seitenwandabstandhalter und die Ätzstoppschicht aus Siliziumnitrid oder Siliziumkarbid gebildet sind.
  20. Speicherzelle nach einem der Ansprüche 17 bis 19, ferner aufweisend: eine untere Metallisierungsleitung, die von einer unteren dielektrischen Zwischenschicht umgeben ist und über eine untere Elektrode-Durchkontaktierung mit der untere Elektrode verbunden ist; eine obere Metallisierungsleitung, die von einer oberen dielektrischen Zwischenschicht umgeben und über die obere Elektrode-Durchkontaktierung mit der oberen Elektrode verbunden ist.
DE102020112783.9A 2020-05-05 2020-05-12 Nichtflüchtige speicheranordnung und herstellungstechnologie Pending DE102020112783A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/866,704 2020-05-05
US16/866,704 US11495743B2 (en) 2020-05-05 2020-05-05 Non-volatile memory device and manufacturing technology

Publications (1)

Publication Number Publication Date
DE102020112783A1 true DE102020112783A1 (de) 2021-11-11

Family

ID=76923102

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020112783.9A Pending DE102020112783A1 (de) 2020-05-05 2020-05-12 Nichtflüchtige speicheranordnung und herstellungstechnologie

Country Status (5)

Country Link
US (2) US11495743B2 (de)
KR (1) KR102518679B1 (de)
CN (1) CN113178520B (de)
DE (1) DE102020112783A1 (de)
TW (1) TWI776362B (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018175973A1 (en) 2017-03-23 2018-09-27 Arizona Board Of Regents On Behalf Of Arizona State University Physical unclonable functions with copper-silicon oxide programmable metallization cells
US11244722B2 (en) * 2019-09-20 2022-02-08 Arizona Board Of Regents On Behalf Of Arizona State University Programmable interposers for electrically connecting integrated circuits
US11751405B2 (en) 2020-09-25 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and method for fabricating the same
US11894267B2 (en) * 2021-01-05 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating integrated circuit device
US11825753B2 (en) * 2021-08-19 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell, integrated circuit, and manufacturing method of memory cell
CN115915904A (zh) * 2021-09-30 2023-04-04 联华电子股份有限公司 半导体元件及其制作方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120091425A1 (en) 2009-06-18 2012-04-19 Yoshio Kawashima Nonvolatile memory device and manufacturing method thereof
US20160351799A1 (en) 2015-05-30 2016-12-01 Applied Materials, Inc. Hard mask for patterning magnetic tunnel junctions
US9779794B2 (en) 2014-03-26 2017-10-03 Intel Corporation Techniques for forming spin-transfer torque memory (STTM) elements having annular contacts
US20200020745A1 (en) 2016-04-27 2020-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect landing method for rram technology
DE102019107906A1 (de) 2018-09-27 2020-04-02 Taiwan Semiconductor Manufacturing Co. Ltd. Untere Elektrodenstruktur in Speichervorrichtungen

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1997035341A1 (fr) 1996-03-15 1997-09-25 Hitachi, Ltd. Dispositif de stockage a semi-conducteur et sa production
KR20030002095A (ko) 2001-06-30 2003-01-08 주식회사 하이닉스반도체 강유전체 메모리 소자의 캐패시터 제조 방법
KR100454255B1 (ko) * 2002-12-30 2004-10-26 주식회사 하이닉스반도체 하드마스크를 이용한 캐패시터의 제조 방법
KR101870873B1 (ko) * 2011-08-04 2018-07-20 에스케이하이닉스 주식회사 반도체 소자의 제조방법
US9431603B1 (en) * 2015-05-15 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device
US9876169B2 (en) * 2015-06-12 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM devices and methods
US9847481B2 (en) * 2015-10-27 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Metal landing on top electrode of RRAM
US9978938B2 (en) 2015-11-13 2018-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive RAM structure and method of fabrication thereof
US9553265B1 (en) * 2016-01-14 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device with data storage layer having increased height
US10276485B2 (en) 2017-08-02 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a homogeneous bottom electrode via (BEVA) top surface for memory
US11289651B2 (en) * 2017-09-01 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device having via landing protection
US10522394B2 (en) * 2017-09-25 2019-12-31 Marvell World Trade Ltd. Method of creating aligned vias in ultra-high density integrated circuits
CN109755126B (zh) * 2017-11-07 2021-02-12 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
US10868237B2 (en) * 2018-08-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned encapsulation hard mask to separate physically under-etched MTJ cells to reduce conductive R-deposition
US11088323B2 (en) 2018-08-30 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Top electrode last scheme for memory cell to prevent metal redeposit
US10840441B2 (en) * 2018-09-14 2020-11-17 International Business Machines Corporation Diamond-like carbon hardmask for MRAM
US10672611B2 (en) * 2018-10-19 2020-06-02 International Business Machines Corporation Hardmask stress, grain, and structure engineering for advanced memory applications
US11196000B2 (en) * 2019-11-01 2021-12-07 International Business Machines Corporation Low forming voltage non-volatile memory (NVM)

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120091425A1 (en) 2009-06-18 2012-04-19 Yoshio Kawashima Nonvolatile memory device and manufacturing method thereof
US9779794B2 (en) 2014-03-26 2017-10-03 Intel Corporation Techniques for forming spin-transfer torque memory (STTM) elements having annular contacts
US20160351799A1 (en) 2015-05-30 2016-12-01 Applied Materials, Inc. Hard mask for patterning magnetic tunnel junctions
US20200020745A1 (en) 2016-04-27 2020-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect landing method for rram technology
DE102019107906A1 (de) 2018-09-27 2020-04-02 Taiwan Semiconductor Manufacturing Co. Ltd. Untere Elektrodenstruktur in Speichervorrichtungen

Also Published As

Publication number Publication date
US11495743B2 (en) 2022-11-08
US20220367811A1 (en) 2022-11-17
US20210351348A1 (en) 2021-11-11
CN113178520A (zh) 2021-07-27
KR20210135914A (ko) 2021-11-16
TWI776362B (zh) 2022-09-01
CN113178520B (zh) 2024-01-09
TW202143522A (zh) 2021-11-16
KR102518679B1 (ko) 2023-04-05

Similar Documents

Publication Publication Date Title
DE102016100272B4 (de) Integrierter Schaltkreis, der zumindest eine Speicherzelle mit einer Datenspeicherschicht enthält, und Verfahren zu dessen Herstellung
DE102019112891A1 (de) Techniken für die Verbindung einer oberen MRAM-MJT-Elektrode
DE102016114870B4 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE102020112783A1 (de) Nichtflüchtige speicheranordnung und herstellungstechnologie
DE102018122524A1 (de) Struktur und Verfahren zum Freilegen von Speicherzellen mit unterschiedlichen Größen
DE102018119672A1 (de) Techniken für mram mtj top elektrode auf metallschicht-interface mit einem abstandhalter
DE102006062958B3 (de) Verfahren zum Herstellen einer integrierten DRAM - Speicherschaltung
DE102019107906A1 (de) Untere Elektrodenstruktur in Speichervorrichtungen
DE102021100089B4 (de) Dreidimensionale speichervorrichtung und verfahren
DE102018100023A1 (de) Resistive direktzugriffspeicherzelle (rram-zelle) mit ausgesparten seitenwänden der unteren elektrode
DE10021385B4 (de) Verfahren zur Herstellung eines Kondensators mit Erzeugung einer unteren Kondensatorelektrode unter Verwendung einer CMP-Stoppschicht
DE102018122648A1 (de) Speichervorrichtungen und Verfahren zum Herstellen derselben
DE102019113416A1 (de) Durchkontaktierungs-Aufsetzverbesserung für Speicherbauelement
DE102015120464A1 (de) Hochdichter resistiver direktzugriffsspeicher (rram)
DE102019127070A1 (de) Verfahren zum bilden einer speicherzelle
DE102019114226A1 (de) Techniken zur verbindung von oberseiteneletrodendurchkontaktierungen von mram
DE102020124212B4 (de) Dreidimensionale Speichervorrichtung und Verfahren
DE102020133671A1 (de) Dreidimensionale speichervorrichtung und verfahren
DE102005032979A1 (de) Strukturieren eines magnetischen Tunnelübergang-Elements unter Verwendung von Nassätzen einer freien Schicht und unter Verwendung von Lift-Off-Techniken
DE102020126631B4 (de) Speicheranordnungen enthaltend Dummy-Bereiche und Verfahren zu ihrer Herstellung
DE102020124219A1 (de) Dreidimensionale Speichervorrichtung und Verfahren
DE102022100084A1 (de) Zugangstransistor mit einer metalloxidsperrschicht und verfahren zu dessen herstellung
DE102021112578A1 (de) Speicherbauelement und verfahren zum bilden davon
DE102020132537A1 (de) Dreidimensionale Speichervorrichtung und Herstellungsverfahren dafür
DE102018107724A1 (de) RRAM-Speicherzelle mit mehreren Filamenten

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication