TWI776362B - 記憶體胞元及其製造方法 - Google Patents
記憶體胞元及其製造方法 Download PDFInfo
- Publication number
- TWI776362B TWI776362B TW110102147A TW110102147A TWI776362B TW I776362 B TWI776362 B TW I776362B TW 110102147 A TW110102147 A TW 110102147A TW 110102147 A TW110102147 A TW 110102147A TW I776362 B TWI776362 B TW I776362B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- hard mask
- top electrode
- memory cell
- resistance
- Prior art date
Links
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 21
- 229910052751 metal Inorganic materials 0.000 claims abstract description 125
- 239000002184 metal Substances 0.000 claims abstract description 125
- 239000012212 insulator Substances 0.000 claims abstract description 54
- 239000000758 substrate Substances 0.000 claims abstract description 28
- 239000010410 layer Substances 0.000 claims description 617
- 238000000034 method Methods 0.000 claims description 67
- 125000006850 spacer group Chemical group 0.000 claims description 49
- 238000001465 metallisation Methods 0.000 claims description 45
- 238000000059 patterning Methods 0.000 claims description 29
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 27
- 239000011229 interlayer Substances 0.000 claims description 25
- 238000005530 etching Methods 0.000 claims description 22
- 229910052715 tantalum Inorganic materials 0.000 claims description 20
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 20
- 229910003481 amorphous carbon Inorganic materials 0.000 claims description 16
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 15
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 15
- 235000012239 silicon dioxide Nutrition 0.000 claims description 12
- 239000000377 silicon dioxide Substances 0.000 claims description 12
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 11
- 239000007769 metal material Substances 0.000 claims description 10
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 10
- 239000011810 insulating material Substances 0.000 claims description 3
- 230000000873 masking effect Effects 0.000 abstract 2
- 230000008569 process Effects 0.000 description 33
- 230000005291 magnetic effect Effects 0.000 description 19
- 239000003989 dielectric material Substances 0.000 description 17
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 17
- 239000000463 material Substances 0.000 description 13
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 13
- 229910052721 tungsten Inorganic materials 0.000 description 13
- 239000010937 tungsten Substances 0.000 description 13
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 11
- 230000004888 barrier function Effects 0.000 description 10
- 238000005229 chemical vapour deposition Methods 0.000 description 10
- 239000000126 substance Substances 0.000 description 10
- 239000010936 titanium Substances 0.000 description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 9
- 229920002120 photoresistant polymer Polymers 0.000 description 9
- 229910052710 silicon Inorganic materials 0.000 description 9
- 239000010703 silicon Substances 0.000 description 9
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 8
- 229910052802 copper Inorganic materials 0.000 description 8
- 239000010949 copper Substances 0.000 description 8
- 238000000151 deposition Methods 0.000 description 8
- 150000002739 metals Chemical group 0.000 description 8
- 238000005240 physical vapour deposition Methods 0.000 description 7
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 6
- 229910052719 titanium Inorganic materials 0.000 description 6
- 238000007740 vapor deposition Methods 0.000 description 6
- 239000002131 composite material Substances 0.000 description 5
- 229910015844 BCl3 Inorganic materials 0.000 description 4
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 4
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 4
- 230000009471 action Effects 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 4
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 4
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 4
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 4
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 238000011049 filling Methods 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 238000013500 data storage Methods 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 230000005294 ferromagnetic effect Effects 0.000 description 2
- 239000012467 final product Substances 0.000 description 2
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 2
- 229910052737 gold Inorganic materials 0.000 description 2
- 239000010931 gold Substances 0.000 description 2
- 238000009413 insulation Methods 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 239000011295 pitch Substances 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 2
- 229910001936 tantalum oxide Inorganic materials 0.000 description 2
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 2
- 229910003855 HfAlO Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- MIQVEZFSDIJTMW-UHFFFAOYSA-N aluminum hafnium(4+) oxygen(2-) Chemical compound [O-2].[Al+3].[Hf+4] MIQVEZFSDIJTMW-UHFFFAOYSA-N 0.000 description 1
- VQLOCUKZAJRPAO-UHFFFAOYSA-N aluminum oxygen(2-) tantalum(5+) Chemical compound [O--].[O--].[O--].[O--].[Al+3].[Ta+5] VQLOCUKZAJRPAO-UHFFFAOYSA-N 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000032798 delamination Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005429 filling process Methods 0.000 description 1
- ZQXQADNTSSMHJI-UHFFFAOYSA-N hafnium(4+) oxygen(2-) tantalum(5+) Chemical compound [O-2].[Ta+5].[Hf+4] ZQXQADNTSSMHJI-UHFFFAOYSA-N 0.000 description 1
- 238000011534 incubation Methods 0.000 description 1
- 239000013067 intermediate product Substances 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910000480 nickel oxide Inorganic materials 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- GNRSAWUEBMWBQH-UHFFFAOYSA-N oxonickel Chemical compound [Ni]=O GNRSAWUEBMWBQH-UHFFFAOYSA-N 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 230000005641 tunneling Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/801—Constructional details of multistable switching devices
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B61/00—Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B63/00—Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
- H10B63/30—Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N50/00—Galvanomagnetic devices
- H10N50/01—Manufacture or treatment
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N50/00—Galvanomagnetic devices
- H10N50/10—Magnetoresistive devices
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N50/00—Galvanomagnetic devices
- H10N50/80—Constructional details
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/011—Manufacture or treatment of multistable switching devices
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/011—Manufacture or treatment of multistable switching devices
- H10N70/061—Shaping switching materials
- H10N70/063—Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/20—Multistable switching devices, e.g. memristors
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/20—Multistable switching devices, e.g. memristors
- H10N70/231—Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/20—Multistable switching devices, e.g. memristors
- H10N70/24—Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/801—Constructional details of multistable switching devices
- H10N70/821—Device geometry
- H10N70/826—Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/801—Constructional details of multistable switching devices
- H10N70/841—Electrodes
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/801—Constructional details of multistable switching devices
- H10N70/881—Switching materials
- H10N70/883—Oxides or nitrides
- H10N70/8833—Binary metal oxides, e.g. TaOx
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B61/00—Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
- H10B61/20—Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
- H10B61/22—Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Semiconductor Memories (AREA)
- Diaphragms For Electromechanical Transducers (AREA)
Abstract
提供一種具有硬罩幕絕緣體的記憶體胞元以及其製造方法。在一些實施例中,在基底之上形成記憶體胞元堆疊,記憶體胞元堆疊具有底部電極層、電阻切換介電層及頂部電極層,電阻切換介電層位於底部電極層之上,頂部電極層位於電阻切換介電層之上。在頂部電極層之上形成第一絕緣層。在第一絕緣層之上形成第一金屬硬遮罩層。接著,執行一系列蝕刻,以將第一金屬硬遮罩層、第一絕緣層、頂部電極層及電阻切換介電層圖案化,進而形成第一金屬硬罩幕、硬罩幕絕緣體、頂部電極及電阻切換介電層。
Description
本揭露有關於一種記憶體胞元及其製造方法。
許多現今的電子裝置含有電子記憶體。電子記憶體可分為揮發性記憶體或非揮發性記憶體(non-volatile memory,NVM)。非揮發性記憶體能夠在不通電的情況下儲存資料,而揮發性記憶體無法在不通電的情況下儲存資料。由於結構相對簡單且與互補金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)邏輯製作製程相容,非揮發性記憶體(例如磁阻式隨機存取記憶體(magnetoresistive random-access memory,MRAM)及電阻式隨機存取記憶體(resistive random-access memory,RRAM))有望成為下一代非揮發性記憶體技術的候選項。
在本揭露的一個態樣中,提供一種記憶體胞元的製造方法,包括:在基底之上形成記憶體胞元堆疊,所述記憶體胞元堆
疊包括底部電極層、電阻切換介電層及頂部電極層,所述電阻切換介電層位於所述底部電極層之上,所述頂部電極層位於所述電阻切換介電層之上;在所述頂部電極層之上形成第一絕緣層;在所述第一絕緣層之上形成第一金屬硬遮罩層;以及執行一系列蝕刻,以將所述第一金屬硬遮罩層、所述第一絕緣層、所述頂部電極層及所述電阻切換介電層圖案化,進而形成第一金屬硬罩幕、硬罩幕絕緣體、頂部電極及電阻切換介電層。
在本揭露的另一態樣中,提供一種記憶體胞元的製造方法,包括:在基底之上形成記憶體胞元堆疊,所述記憶體胞元堆疊包括底部電極層、電阻切換介電層、頂部電極層,所述電阻切換介電層位於所述底部電極層之上,所述頂部電極層位於所述電阻切換介電層之上;在所述記憶體胞元堆疊之上形成硬遮罩堆疊,其中所述硬遮罩堆疊包括第一絕緣層及第一金屬硬遮罩層,所述第一絕緣層位於所述硬遮罩堆疊的底部處並接觸所述頂部電極層,所述第一金屬硬遮罩層由與所述頂部電極層的材料不同的金屬材料製成;以及執行一系列蝕刻,以將所述硬遮罩堆疊、所述頂部電極層、所述電阻切換介電層及所述底部電極層圖案化,進而形成硬罩幕絕緣體、頂部電極、電阻切換介電層及底部電極。
在本揭露的又一態樣中,提供一種記憶體胞元,包括:底部電極,設置於基底之上;電阻切換介電層,設置於所述底部電極之上且具有可變電阻;頂部電極,設置於所述電阻切換介電層之上;硬罩幕絕緣體,直接設置於所述頂部電極上;以及頂部
電極通孔,被設置成穿過所述硬罩幕絕緣體到達所述頂部電極;其中所述硬罩幕絕緣體直接接觸所述頂部電極且包含絕緣材料。
100、200、300、400、500、600、800、900、1000、1100、1200、1300、1400、1500、1600、1700、1800、1900:剖視圖
102:基底
104:底部層間介電層
106:金屬化線
108:下部介電層
110:通孔
112:底部電極
112’:自旋軌道轉矩(SOT)層
114:記憶體胞元
116:電阻切換介電層
118:頂部電極
120:硬罩幕絕緣體
122:側壁間隔件
126、242:蝕刻停止層
131:障壁襯墊層
132:通孔
134:頂部金屬化線
136:上部介電層
138:頂部層間介電層
140:下部內連線結構
142:上部內連線結構
202:硬遮罩堆疊
202’:硬遮罩堆疊
204、204’:記憶體胞元堆疊
206、208:字元線電晶體
210:字元線閘極
212:字元線介電層
214、216:源極/汲極區
218:後段製程(BEOL)金屬化堆疊
220、228、230:層間介電層
222:金屬化層
224:金屬化層
226:金屬化層
232:源極線
234:金屬化線
236:接觸件
238:最底部層間介電(ILD)層
240:通孔
244:淺溝槽隔離(STI)區
602:讀取路徑
604:寫入路徑
700:積體電路裝置
802:底部通孔開口
1002:底部電極層
1004:電阻切換介電層
1006:頂部電極層
1108:絕緣層
1110:金屬硬遮罩層/絕緣層
1110s:收縮部分
1112:第二絕緣層
1114:金屬硬遮罩層
1114s:頸縮部分
1116:第一介電硬遮罩層
1118:非晶碳膜
1120:第二介電硬遮罩層
1202:圖案化層
1204:底部抗反射塗佈(BARC)層
1206:光阻層
1902:頂部電極通孔開口
2000:方法
2002、2004、2006、2008、2010、2012、2014、2016:動作
結合附圖閱讀以下詳細說明,會最佳地理解本揭露的各個態樣。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。
圖1示出製造記憶體胞元的示意圖。
圖2示出具有硬遮罩堆疊的記憶體胞元的一些實施例的剖視圖,所述硬遮罩堆疊包括絕緣層。
圖3示出具有硬遮罩堆疊的圖2所示記憶體胞元的一些替代實施例的剖視圖,所述硬遮罩堆疊包括多個絕緣層。
圖4示出具有絕緣硬罩幕的記憶體胞元的一些實施例的剖視圖,其中圖4所示記憶體胞元可為在藉由硬遮罩堆疊對圖2或圖3所示記憶體胞元進行圖案化之後的最終產品。
圖5示出具有絕緣硬罩幕的圖4所示記憶體胞元的一些替代實施例的剖視圖。
圖6示出具有絕緣硬罩幕的圖4及圖5所示記憶體胞元的一些替代實施例的剖視圖。
圖7示出具有圖4、圖5或圖6所示記憶體胞元的積體電路的一些實施例的剖視圖。
圖8至圖19根據一些實施例示出處於積體電路的各個製造階段的一系列剖視圖,所述積體電路包括記憶體胞元。
圖20根據一些實施例示出製造具有記憶體胞元的積體電路的方法的流程圖。
本揭露提供用於實施本揭露的不同特徵的許多不同實施例或實例。以下闡述組件及佈置的具體實例以簡化本揭露。當然,該些僅為實例且不旨在進行限制。舉例而言,以下說明中將第一特徵形成於第二特徵「之上」或第二特徵「上」可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本揭露可能在各種實例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「頂部的(top)」、「底部的(bottom)」、「位於......之下(beneath)」、「位於......下方(below)」、「下部的(lower)」、「位於......上方(above)」、「上部的(upper)」等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外亦囊括裝置在使用或操作中的不同定向。
設備可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
另外,為易於說明,本文中可能使用「第一(first)」、「第二(second)」、「第三(third)」等來區分圖或一系列圖所示的不同元件。「第一」、「第二、「第三」等並非旨在闡述對應的元件。因此,結合第一個圖闡述的「第一介電層」可能未必對應於結合另一個圖所闡述的「第一介電層」。
非揮發性記憶體(例如磁阻式隨機存取記憶體(MRAM)或電阻式隨機存取記憶體(RRAM))包括記憶體胞元陣列。記憶體胞元包括頂部電極與底部電極的堆疊,頂部電極與底部電極被電阻切換介電層隔開。依據施加至電極對的電壓,電阻切換介電層將在和第一資料狀態(例如,「0」或「重新設定(RESET)」)相關聯的高電阻狀態與和第二資料狀態(例如,「1」或「設定(SET)」)相關聯的低電阻狀態之間可逆地切換。電阻切換介電層可由各種材料製成。舉例而言,電阻切換介電層可包括磁性穿遂接面(magnetic tunnel junction,MTJ)結構,磁性穿遂接面結構具有固定磁性層(pinned magnetic layer)及自由磁性層(free magnetic layer),固定磁性層與自由磁性層在垂直方向上被介電障壁層隔開。隨著減小節距大小的需求持續增加,由於對記憶體胞元堆疊進行蝕刻具有難度,因此以低尺寸及非常密集的節距將記憶體胞元堆疊圖案化對於高密度的非揮發性記憶體而言仍存在挑戰。在一些實施例中,硬遮罩堆疊經使用於圖案化製程。硬遮罩
堆疊可包括由介電材料(例如氮化矽或氮氧化矽)製成的介電硬遮罩層以及由金屬或金屬合金(例如鉭或氮化鉭)製成的一或多個金屬硬遮罩層。參照圖1所示剖視圖100作為實例,在記憶體胞元堆疊204’上形成硬遮罩堆疊202’以進行圖案化。硬遮罩堆疊202’可包括第一金屬硬遮罩層1110及第二金屬硬遮罩層1114,例如氮化鉭層及鉭層。在將記憶體胞元堆疊204’的頂部電極層1006圖案化以形成頂部電極118之後,可觀察到如區域1110s、區域1114s所示的第一金屬硬遮罩層1110及第二金屬硬遮罩層1114的收縮部分及頸縮部分。相鄰金屬的伽凡尼腐蝕效應(galvanic effect)可能是導致此問題的原因。當一金屬接觸具有較低氧化還原電位(redox potential)的另一金屬時,更容易被氧化。此處,金屬硬遮罩層1110、1114具有較頂部電極層1006多的負氧化還原電位,且因此在頂部電極層1006的圖案化期間被氧化及蝕刻。舉例而言,可觀察到,當頂部電極118的橫向寬度為約50奈米時,第一金屬硬遮罩層1110的橫向寬度可收縮至約35奈米,且第二金屬硬遮罩層1114的橫向寬度可收縮至約15奈米。此種收縮問題或頸縮問題可能會導致圖案化製程的失敗。
鑒於以上所述,在一些更先進的實施例中,提供一種經改善的使用硬遮罩堆疊來製造記憶體裝置的方法以及對應的NVM記憶體裝置結構。在一些實施例中,當形成用於記憶體裝置的硬遮罩堆疊時,在由不同材料形成的兩個金屬層之間形成絕緣層,進而可減少或避免以上所論述的收縮問題或頸縮問題。
圖2示出具有硬遮罩堆疊202的記憶體胞元的一些實施例的剖視圖200。硬遮罩堆疊包括設置於頂部電極層1006與第一金屬硬遮罩層1110之間的第一絕緣層1108。更具體而言,在一些實施例中,如圖2所示,硬遮罩堆疊202形成於記憶體胞元堆疊204之上以進行圖案化。圖案化製程的更多細節結合圖11至圖17進行闡述。記憶體胞元堆疊204可包括堆疊在基底102之上的底部電極層1002、電阻切換介電層1004及頂部電極層1006。頂部電極層1006可包含第一種類金屬材料(例如,鎢)或者由第一種類金屬材料(例如,鎢)製成。硬遮罩堆疊202可包括位於底部的第一絕緣層1108、位於第一絕緣層1108上的第一金屬硬遮罩層1110以及位於第一金屬硬遮罩層1110上的第一介電硬遮罩層1116。第一金屬硬遮罩層1110可包含與頂部電極層1006的材料不同的第二種類金屬材料(例如,鉭)或由第二種類金屬材料(例如,鉭)製成。第一絕緣層1108設置於硬遮罩堆疊的底部處,接觸頂部電極層1006及第一金屬硬遮罩層1110且將頂部電極層1006與第一金屬硬遮罩層1110隔開,進而防止頂部電極層1006或第一金屬硬遮罩層1110的收縮問題或頸縮問題。接著,執行一系列蝕刻(圖2未示出)以將硬遮罩堆疊202、頂部電極層1006、電阻切換介電層1004及底部電極層1002圖案化,進而對應地形成硬罩幕、頂部電極、電阻切換介電層及底部電極。底部電極可經由底部電極通孔110電性耦合至金屬化層224的金屬線。隨後可沿經圖案化的堆疊的側壁及輪廓形成側壁間隔件及蝕刻停止
層。
圖3示出具有硬遮罩堆疊202的圖2所示記憶體胞元的一些替代實施例的剖視圖300,硬遮罩堆疊202包括多個絕緣層1108、1112。儘管在圖3中示出兩個絕緣層1108、1112,然而硬遮罩堆疊202可包括多於兩組的堆疊於彼此之上的金屬硬遮罩層及絕緣層。附加組的金屬硬遮罩層及絕緣層為記憶體胞元圖案化提供更大的遮罩厚度。圖案化製程的更多細節結合圖11至圖17進行闡述。如圖3所示,作為實例,在一些實施例中,在第一金屬硬遮罩層1110上設置有第二絕緣層1112,且在第二絕緣層1112上設置有第二金屬硬遮罩層1114。第二絕緣層1112將第一金屬硬遮罩層1110與第二金屬硬遮罩層1114隔開,進而防止第一金屬硬遮罩層1110或第二金屬硬遮罩層1114的收縮問題或頸縮問題。第二金屬硬遮罩層1114可包括與第一金屬硬遮罩層1110的材料或頂部電極層1006的材料不同的第三種類金屬材料。舉例而言,第二金屬硬遮罩層1114可包含氮化鉭或者由氮化鉭製成。由於鉭的選擇性,以鉭作為用於圖案化的硬遮罩材料可較佳於氮化鉭。然而,鉭層具有高應力,且厚度太厚時可能會有剝離的風險。因此,包含鉭及氮化鉭二者的硬遮罩堆疊可在維持選擇性及穩定性的同時幫助達成期望的硬罩幕高度。其他適用於形成金屬硬遮罩層1110、1114的金屬材料亦處於本揭露的範圍內。第二絕緣層1112可包含與第一絕緣層1108的材料相同或不同的介電材料(例如,二氧化矽)。第二絕緣層1112及第一絕緣層1108亦可包含碳
化矽(SiC)、氮化矽(SiN)、碳氧化矽(SiOC)、氮氧化矽(SiON)或其組合,或者由碳化矽(SiC)、氮化矽(SiN)、碳氧化矽(SiOC)、氮氧化矽(SiON)或其組合製成。其他適用於形成第二絕緣層1112或第一絕緣層1108的介電材料亦處於本揭露的範圍內。在一些實施例中,第二絕緣層1112及第一絕緣層1108可分別具有介於約1奈米至約10奈米範圍內的厚度。在一些替代實施例中,第二絕緣層1112及第一絕緣層1108可分別具有介於約3奈米至約10奈米範圍內的厚度。考量化學氣相沈積(chemical vapor deposition,CVD)膜的沈積能力,第二絕緣層1112或第一絕緣層1108的厚度小於3奈米時可能具有不均勻的問題。由於使用金屬層作為硬遮罩層具有較其他絕緣層更佳的選擇性益處,因此可能不期望使第二絕緣層1112或第一絕緣層1108的厚度大於10奈米。
圖4示出具有絕緣硬罩幕(硬遮罩堆疊202)的記憶體胞元的一些實施例的剖視圖400。圖4所示記憶體胞元可為在藉由硬遮罩堆疊202將圖2或圖3所示記憶體胞元圖案化之後的中間產品或最終產品。在一些實施例中,記憶體胞元114包括設置於基底102之上的底部電極112。電阻切換介電層116設置於底部電極112之上且具有可變電阻。在電阻切換介電層116之上設置有頂部電極118。在記憶體胞元114的操作期間,在頂部電極118與底部電極112之間施加電壓,以藉由形成或斷開電阻切換介電層116的一或多個導電細絲(conductive filament)來對記憶體胞元114進行讀取、設定或抹除操作。因此,記憶體胞元114可具有可
變電阻而可處於相對低的電阻態或相對高的電阻態,以代表例如低位元狀態或高位元狀態。
硬罩幕絕緣體120直接設置於頂部電極118上且可具有與頂部電極118對準或共面的側壁。硬罩幕絕緣體120可為由記憶體胞元圖案化製程形成的圖2或圖3中所示的第一絕緣層1108的局部剩餘部分。在一些實施例中,側壁間隔件122設置於底部電極112的上表面上且沿電阻切換介電層116的側壁及頂部電極118的側壁向上延伸,且可延伸至硬罩幕絕緣體120的底部部分。側壁間隔件122亦可延伸至硬罩幕絕緣體120的整個側壁表面。蝕刻停止層126設置於基底102之上且可對底部電極112、側壁間隔件122進行共形地襯墊,且在硬罩幕絕緣體120之上延伸。蝕刻停止層126可直接接觸且覆蓋絕緣層的頂表面。蝕刻停止層126與側壁間隔件122包含不同的材料或具有不同密度的相同材料。側壁間隔件122及蝕刻停止層126亦可包括一或多個介電組成物層,所述一或多個介電組成物層包含例如氧化矽、氮化矽、碳化矽等。側壁間隔件122可在製造記憶體胞元114期間用以界定底部電極112的輪廓(foot print)。蝕刻停止層126在頂部電極通孔132著陸期間保護頂部電極118。
根據一些實施例,記憶體胞元114可形成於後段製程(back-end-of-line,BEOL)金屬化堆疊內,後段製程金屬化堆疊具有設置於基底102之上的下部內連線結構140及上部內連線結構142。下部內連線結構140包括設置於底部層間介電層104內的
底部金屬化線106。上部內連線結構142包括設置於頂部層間介電層138內的頂部金屬化線134。底部層間介電層104及頂部層間介電層138可為例如氧化物、低介電常數(low-k)電介質(即,介電常數k小於二氧化矽的電介質)或極低介電常數電介質(介電常數k小於約2的電介質),且底部金屬化線106及頂部金屬化線134可為例如金屬(例如銅)。
記憶體胞元114的底部電極112可為導電材料,例如鈦、鉭、氮化鈦、氮化鉭、鎢、釕、鉬、鈷或其組合。底部電極112的示例性厚度可介於約10奈米至100奈米的範圍內,或者優選地介於10奈米至約20奈米的範圍內。此示例性厚度與下文給出的其他示例性尺寸可一同用於某個技術節點,且針對其他節點對該些尺寸進行按比例縮放是可接受的。在一些實施例中,底部電極112經由設置於底部電極112與底部金屬化線106之間的底部電極通孔110電性耦合至下部內連線結構140的底部金屬化線106。底部電極通孔110可例如包含氮化鈦。底部電極通孔110的示例性厚度可介於約40奈米至約50奈米的範圍內。在一些實施例中,下部介電層108被設置成環繞底部電極通孔110。舉例而言,下部介電層108可包含碳化矽、氮化矽、氧化矽或一或多層複合介電膜。在下部介電層108之上設置有上部介電層136。上部介電層136可包含氧化矽。上部介電層136可具有與蝕刻停止層126的頂表面直接接觸的底表面。上部介電層136可具有與頂部層間介電層138的底表面直接接觸的頂表面。
在一些實施例中,記憶體胞元114是磁阻式隨機存取記憶體(MRAM)胞元,且電阻切換介電層116可包括磁性穿遂接面(MTJ)結構。MTJ結構可具有底部鐵磁層及頂部鐵磁層,底部鐵磁層與頂部鐵磁層被穿隧障壁層隔開。在一些其他實施例中,記憶體胞元114是電阻式隨機存取記憶體(RRAM)胞元,且電阻切換介電層116可包括RRAM介電層。電阻切換介電層116可為高介電常數層(即,介電常數k大於3.9的層),例如氧化鉭、氧化鉭鉿、氧化鉭鋁或包含鉭、氧及一或多種其他元素的另一種材料。電阻切換介電層116亦可包括其他複合層。舉例而言,電阻切換介電層116可包括設置於底部處的晶種層及/或設置於頂部上的頂蓋層。電阻切換介電層116的示例性厚度可介於約20奈米至約50奈米的範圍內。
頂部電極118設置於電阻切換介電層116之上。頂部電極118可在頂部處包含鎢,以提供良好的著陸接觸。MTJ結構的頂部電極118或下伏頂蓋層亦可包括一或多個其他金屬或金屬組成物層,例如包含鈦、氮化鈦、鉭、氮化鉭等。頂部電極118的示例性厚度可介於約30奈米至約40奈米的範圍內。在一些實施例中,頂部電極118經由設置於頂部電極118與頂部金屬化線134之間的頂部電極通孔132電性耦合至上部內連線結構142的頂部金屬化線134。頂部電極通孔132可為例如導電材料(銅、鋁、鈷或鎢)。障壁襯墊層131可設置於頂部電極通孔132之下且用作擴散障壁層,以防止材料在頂部電極通孔132與頂部電極118之間
擴散。障壁襯墊層131可例如包含氮化鉭。障壁襯墊層131的示例性厚度可介於約5奈米至約10奈米的範圍內。
圖5示出根據一些替代實施例的具有絕緣硬罩幕的記憶體胞元的剖視圖500。相較於圖4,側壁間隔件122設置於下部介電層108的上表面上且沿底部電極112的側壁、電阻切換介電層116的側壁及頂部電極118的側壁向上延伸,且可延伸至硬罩幕絕緣體120的底部部分或整個側壁表面。蝕刻停止層126設置於下部介電層108的上表面上,對側壁間隔件122進行共形地襯墊且在硬罩幕絕緣體120之上延伸。蝕刻停止層126可直接接觸且覆蓋硬罩幕絕緣體120的頂表面。頂部電極通孔132可具有著陸於頂部電極118的凹陷上表面上的底部。蝕刻停止層126與硬罩幕絕緣體120二者皆可接觸障壁襯墊層131或頂部電極通孔132的下部側壁,且具有實質上對準或共面的內側壁表面。
如上所述,記憶體胞元114可為任何適用的非揮發性記憶體胞元,例如磁阻式隨機存取記憶體(MRAM)胞元及電阻式隨機存取記憶體(RRAM)胞元。圖6根據一些實施例示出根據對圖4及圖5進行替代的具有絕緣硬罩幕的記憶體胞元的另一實例的剖視圖600。如圖6所示,有時被稱為自旋軌道轉矩(spin-orbit torque,SOT)MRAM,電阻切換介電層116的切換是藉由在相鄰的SOT層112’中注入橫向(in-plane)電流來完成的。因此,三個端點的MTJ能夠將讀取路徑602與寫入路徑604隔離,且因此改善裝置耐久性及讀取穩定性。另外,由於SOT自旋轉移的幾何,
潛伏時間(incubation time)可忽略不計,此使得切換操作更快且更可靠。
圖7示出根據一些附加實施例的包括記憶體胞元114的積體電路裝置700的剖視圖。記憶體胞元114可具有與圖4至圖6中所示及上述的記憶體胞元114中的任意者相似的結構。如圖7中所示,記憶體胞元114可設置於基底102之上。基底102可為例如塊狀基底(例如,塊狀矽基底)或絕緣體上覆矽(silicon-on-insulator,SOI)基底。在基底102中設置有一或多個淺溝槽隔離(shallow trench isolation,STI)區244或氧化物填充溝槽。一對字元線電晶體206、208在STI區244之間間隔開。字元線電晶體206、208彼此平行地延伸且包括字元線介電層212、字元線閘極210及源極/汲極區214、216,其中字元線閘極210藉由字元線介電層212而與基底102隔開。源極/汲極區214、216嵌置於字元線閘極210與STI區244之間的基底102的表面內。字元線閘極210可為例如經摻雜的多晶矽或金屬(例如氮化鈦或氮化鉭)。字元線介電層212可為例如氧化物(例如二氧化矽)。最底部層間介電(ILD)層238被設置成上覆於字元線電晶體206、208上。最底部ILD層238可為氧化物。
在字元線電晶體206、208之上設置有後段製程(BEOL)金屬化堆疊218。BEOL金屬化堆疊218包括分別設置於層間介電層220、228、230內的多個金屬化層222、224、226。金屬化層222、224、226可為例如金屬(例如銅或鋁)。層間介電層220、
228、230可為例如低介電常數電介質,例如多孔性未經摻雜的矽酸鹽玻璃或氧化物(例如二氧化矽)。蝕刻停止層126、242可被設置成將層間介電層220、228、230隔開。金屬化層222、224、226包括耦合至由字元線電晶體206、208共享的源極/汲極區214的源極線232。此外,金屬化層222、224、226包括位元線,位元線連接至記憶體胞元114且經由多個金屬化線(例如金屬化線106、234)及多個通孔(例如通孔132、110、240)進一步連接至字元線電晶體206或字元線電晶體208的源極/汲極區216。接觸件236自金屬化線234延伸穿過最底部ILD層238到達源極/汲極區216。通孔132、110、240及接觸件236可為例如金屬(例如銅、金或鎢)。
記憶體胞元114設置於頂部金屬化線134與底部金屬化線106之間。上部介電層136被設置成上覆於層間介電層228、230之間的記憶體胞元114上。上部介電層136可為氧化物。儘管記憶體胞元114在圖7中被示出為設置於上部金屬化層226與下部金屬化層224之間,然而應理解,記憶體胞元114可設置於BEOL金屬化堆疊218的金屬化層中的任何兩個金屬化層之間。
與以上參照圖4至圖6所述相似,記憶體胞元114包括連接或無縫接觸底部電極通孔110的底部電極112。電阻切換介電層116設置於底部電極112之上。頂部電極118設置於電阻切換介電層116之上。硬罩幕絕緣體120設置於頂部電極118上且硬罩幕絕緣體120的頂表面被蝕刻停止層126覆蓋。側壁間隔件122
可設置於底部電極112的頂表面上且沿電阻切換介電層116的側壁及頂部電極118的側壁向上延伸,如圖4所示。作為另外一種選擇,側壁間隔件122亦可設置於下部介電層108的頂表面或其他介電材料上,且沿底部電極112的側壁、電阻切換介電層116的側壁及頂部電極118的側壁向上延伸,如圖5所示。蝕刻停止層126設置於下部介電層108的頂表面或其他介電材料上,且沿側壁間隔件122的側壁向上延伸。頂部電極通孔132連接頂部金屬化線134與頂部電極118。頂部電極通孔132可具有著陸於頂部電極118的凹陷上表面上的底表面。硬罩幕絕緣體120可為記憶體胞元圖案化製程形成的圖2或圖3中所示的第一絕緣層1108的局部剩餘部分。第一絕緣層1108設置於硬遮罩堆疊的底部處,接觸頂部電極層1006及第一金屬硬遮罩層1110且將頂部電極層1006與第一金屬硬遮罩層1110隔開,進而防止頂部電極層1006或第一金屬硬遮罩層1110(參照圖2或圖3)的收縮問題或頸縮問題。
圖8至圖19示出剖視圖的一些實施例,所述剖視圖示出形成積體電路裝置的方法。
如圖8的剖視圖800中所示,在上覆於下部內連線結構140上的下部介電層108內形成底部通孔開口802。下部內連線結構140包括被底部層間介電層104在橫向上環繞的底部金屬化線106。底部層間介電層104可為例如低介電常數電介質,且底部金屬化線106可為例如金屬(例如銅)。下部介電層108形成於下部
內連線結構140之上,其中底部通孔開口802暴露出底部金屬化線106。下部介電層108可包括例如一或多層電介質(例如二氧化矽、碳化矽及/或氮化矽)。形成底部通孔開口802的製程可包括在下部內連線結構140之上沈積下部介電層108,隨後進行微影製程(photolithography process)。可在下部介電層108之上形成光阻層,且光阻層暴露出與將形成的底部通孔開口802對應的下部介電層108的區。接著,可根據光阻層施加對下部介電層108具有選擇性的一或多種蝕刻劑。在施加所述一或多種蝕刻劑之後,可移除光阻層。接著在下部介電層108之上形成填充底部通孔開口802的底部電極通孔110。底部電極通孔110可例如由一或多層導電材料(例如多晶矽、氮化鈦、氮化鉭、鉑、金、銥、釕、鎢等)形成。舉例而言,底部電極通孔110可為藉由原子層沈積(atomic layer deposition,ALD)製程、隨後藉由平坦化製程形成的氮化鈦層。
如圖9的剖視圖900中所示,藉由一系列氣相沈積技術(例如,物理氣相沈積、化學氣相沈積等)在下部介電層108之上沈積記憶體胞元的記憶體胞元堆疊204。在一些實施例中,在底部電極通孔110及下部介電層108之上形成底部電極層1002。底部電極層1002可包含金屬氮化物(例如,氮化鈦(TiN)、氮化鉭(TaN)等)及/或金屬(例如,鈦(Ti)、鉭(Ta)等)。在一些實施例中,底部電極層1002可為與底部電極通孔相同的材料,且甚至可與底部電極通孔110在一個沈積製程中一同形成。隨後可
執行平坦化製程以形成底部電極層1002的平坦頂表面。接著,在底部電極層1002之上形成電阻切換介電層1004。在一些實施例中,電阻切換介電層1004可包括磁性穿遂接面(MTJ)結構,磁性穿遂接面結構具有固定磁性層及自由磁性層,固定磁性層與自由磁性層被介電障壁層在垂直方向上隔開。在其他實施例中,電阻切換介電層1004可包括RRAM介電資料儲存層。在一些實施例中,電阻切換介電層1004可包含金屬氧化物複合物,例如氧化鉿鋁(HfAlOx)、氧化鋯(ZrOx)、氧化鋁(AlOx)、氧化鎳(NiOx)、氧化鉭(TaOx)或氧化鈦(TiOx)。在電阻切換介電層1004之上形成頂部電極層1006。頂部電極層1006可包括一或多個導電層。在一些實施例中,頂部電極層1006可包含氮化鈦(TiN)或氮化鉭(TaN)、金屬(例如,鈦(Ti)或鉭(Ta)銅)等。在一些實施例中,頂部電極層1006可由鎢製成或至少在頂部處包含鎢,以提供良好的著陸接觸。在一些實施例中,頂部電極層1006可具有介於約20奈米至約70奈米範圍內的厚度。
如圖10的剖視圖1000及圖11的剖視圖1100中所示,在記憶體胞元堆疊204之上形成硬遮罩堆疊202。硬遮罩堆疊202可包括第一絕緣層1108及第一金屬硬遮罩層1110。第一絕緣層1108形成於硬遮罩堆疊202的底部處並接觸頂部電極層1006,而第一金屬硬遮罩層1110形成於第一絕緣層1108上。第一金屬硬遮罩層1110可包含與頂部電極層1006的材料(例如,鎢)不同的第二種類金屬材料(例如,鉭)或者由第二種類金屬材料(例
如,鉭)製成。第一絕緣層1108設置於硬遮罩堆疊的底部處,接觸頂部電極層1006及第一金屬硬遮罩層1110且將頂部電極層1006與第一金屬硬遮罩層1110隔開,進而防止第一金屬硬遮罩層1110(或頂部電極層1006)的收縮問題或頸縮問題。在另一些實施例中,硬遮罩堆疊202可包括多於一組的堆疊於彼此之上的金屬硬遮罩層及絕緣層。附加組的金屬硬遮罩層及絕緣層為記憶體胞元圖案化提供更大的遮罩厚度。舉例而言,可在第一金屬硬遮罩層1110上形成第二絕緣層1112,且可在第二絕緣層1112上形成第二金屬硬遮罩層1114。第二絕緣層1112將第一金屬硬遮罩層1110與第二金屬硬遮罩層1114隔開,進而防止第一金屬硬遮罩層1110或第二金屬硬遮罩層1114的收縮問題或頸縮問題。第二金屬硬遮罩層1114可包含與第一金屬硬遮罩層1110的材料或頂部電極層1006的材料不同的第三種類金屬材料(例如,氮化鉭)。第二絕緣層1112可包含與第一絕緣層1108的材料相同或不同的介電材料(例如,二氧化矽)。可藉由沈積技術(例如化學氣相沈積(CVD)、物理氣相沈積(physical vapor deposition,PVD)或其他應用製程)形成第一絕緣層1108及第二絕緣層1112。在一些實施例中,第一絕緣層1108及/或第二絕緣層1112可分別具有介於約3奈米至約10奈米範圍內的厚度。第一絕緣層1108及/或第二絕緣層1112可分別包含二氧化矽、氮化矽、碳化矽或其組合或者分別由二氧化矽、氮化矽、碳化矽或其組合製成。製成第一絕緣層1108及/或第二絕緣層1112的其他介電材料亦處於本揭露的範
圍內。
如圖11的剖視圖1100中所示,可在各組金屬硬遮罩層及絕緣層之上形成第一介電硬遮罩層1116。在另一些實施例中,硬遮罩堆疊202可包括多於一個的堆疊於彼此之上的介電硬遮罩層,以為記憶體胞元圖案化提供更大的遮罩厚度。介電硬遮罩層可被非晶碳膜及/或類金剛石碳膜隔開。舉例而言,可在第一介電硬遮罩層1116上形成非晶碳膜1118,且可在非晶碳膜1118上形成第二介電硬遮罩層1120。第一介電硬遮罩層1116與第二介電硬遮罩層1120可包含相同的介電材料或不同的介電材料,例如氮氧化矽(SiON)或碳化矽。
圖11的剖視圖1100中仍示出,在硬遮罩堆疊202之上形成圖案化層1202。圖案化層1202可包括底部抗反射塗佈(bottom antireflective coating,BARC)層1204及光阻層1206。光阻層經旋轉塗佈於BARC層1204之上,且例如藉由使用雙重圖案化技術(double-patterning technique)將光阻層1206圖案化。
如圖12的剖視圖1200中所示,作為實例,可藉由第一系列蝕刻而以圖案化層1202作為遮罩以將第二介電硬遮罩層1120及非晶碳膜1118圖案化。對於先進技術節點製程,光阻層1206的厚度相當有限且僅可將具有有限厚度的下伏層圖案化。經圖案化的非晶碳膜1118用作轉移的遮罩層,以將第一介電硬遮罩層1116圖案化。非晶碳膜1118可具有較光阻層1206的厚度大約1.2倍至2.5倍的厚度。第一介電硬遮罩層1116可具有較第二介電硬
遮罩層1120的厚度大2倍至4倍的厚度。在將非晶碳膜1118圖案化之後可移除經圖案化的第二介電硬遮罩層1120。在將第一介電硬遮罩層1116圖案化之後可移除經圖案化的非晶碳膜1118。
如圖13的剖視圖1300中所示,作為實例,藉由第二系列蝕刻而根據經圖案化的第一介電硬遮罩層1116將所述各組金屬硬遮罩層及絕緣層(例如,第二金屬硬遮罩層1114、第二絕緣層1112、第一金屬硬遮罩層1110、第一絕緣層1108)圖案化。可根據金屬硬遮罩層(例如,第二金屬硬遮罩層1114、第一金屬硬遮罩層1110)將第一絕緣層1108圖案化以形成硬罩幕絕緣體120。在圖案化製程期間可局部地消耗第一介電硬遮罩層1116。在一些實施例中,圖案化製程可包括乾式蝕刻製程,乾式蝕刻製程可具有包含CF4、CH2F2、Cl2、BCl3及/或其他化學物質的化學蝕刻劑。第二金屬硬遮罩層1114可包含氮化鉭,且可藉由包含SF6、CF4、CH2F2、CHF3、Cl2、BCl3及/或其他化學物質的蝕刻劑將第二金屬硬遮罩層1114圖案化。第一金屬硬遮罩層1110可包含鉭,且可藉由包含SF6、CF4、CH2F2、CHF3、Cl2、BCl3及/或其他化學物質的蝕刻劑將第一金屬硬遮罩層1110圖案化。
如圖14的剖視圖1400中所示,根據經圖案化的硬遮罩堆疊202將頂部電極層1006圖案化,以形成頂部電極118。硬遮罩堆疊202包括經圖案化的第一金屬硬遮罩層1110及硬罩幕絕緣體120,且亦可包括第一金屬硬遮罩層1110上方的遮罩層的一些剩餘部分。頂部電極層1006可包含鎢,且可藉由包含SF6、CF4、
CHF3及/或其他化學物質的蝕刻劑將頂部電極層1006圖案化。
如圖15的剖視圖1500中所示,根據硬遮罩堆疊202及頂部電極118將電阻切換介電層1004(在圖14中示出)圖案化,以形成電阻切換介電層116。在圖案化製程期間,可局部地移除或減小硬遮罩堆疊202。可暴露出底部電極層1002。在一些實施例中,電阻切換介電層116的側壁與頂部電極118的側壁可為傾斜且對準的(例如,共面)。在一些實施例中,圖案化製程可包括乾式蝕刻或離子束蝕刻(ion beam etching)或組合製程,所述乾式蝕刻或離子束蝕刻或組合製程可具有包含CF4、CH2F2、Cl2、BCl3、CO/NH3、CH3OH、CH4、H2、Ar、Kr、Xe及/或其他化學物質的化學蝕刻劑。
如圖16的剖視圖1600中所示,可沿電阻切換介電層116的側壁表面、頂部電極118的側壁表面及硬罩幕絕緣體120的側壁表面形成側壁間隔件122。在一些實施例中,可藉由沿底部電極層1002的上表面形成介電間隔件層來形成側壁間隔件122,側壁間隔件122沿電阻切換介電層116的側壁表面、頂部電極118的側壁表面、硬罩幕絕緣體120的側壁表面及硬遮罩堆疊202的側壁表面延伸且覆蓋硬遮罩堆疊202的頂表面。介電間隔件層可包含氮化矽、四乙基正矽酸鹽(tetraethyl orthosilicate,TEOS)、富矽氧化物(silicon-rich oxide,SRO)或相似的複合介電膜。在一些實施例中,可藉由氣相沈積技術(例如,物理氣相沈積、化學氣相沈積等)形成介電間隔件層。接著,執行非等向性蝕刻
(anisotropic etch)(例如,垂直蝕刻),以移除介電間隔件層的橫向延伸,進而沿電阻切換介電層116的側壁表面及頂部電極118的側壁表面形成側壁間隔件122。底部電極層1002可因移除介電間隔件層的橫向伸展而被暴露出。在蝕刻製程期間可移除硬遮罩堆疊202及硬罩幕絕緣體120的上部部分。
如圖17的剖視圖1700中所示,執行蝕刻以根據側壁間隔件122將底部電極層1002圖案化且形成底部電極112。所述蝕刻可包括乾式蝕刻(例如電漿蝕刻製程(plasma etching process)),乾式蝕刻可具有包含CF4、CH2F2、Cl2、BCl3及/或其他化學物質的化學蝕刻劑。作為蝕刻製程的結果,底部電極112可具有與側壁間隔件122的側壁對準的側壁,且可暴露出下部介電層108。
如圖18的剖視圖1800中所示,可共形地形成對工件的輪廓進行襯覆的蝕刻停止層126。蝕刻停止層126可包含氮化矽、四乙基正矽酸鹽(TEOS)、富矽氧化物(SRO)或相似的複合介電膜。在一些實施例中,可藉由氣相沈積技術(例如,物理氣相沈積、化學氣相沈積等)形成蝕刻停止層126。形成蝕刻停止層126以準備保護記憶體胞元免受頂部電極通孔開口及著陸製程的影響,如稍後所闡述。舉例而言,蝕刻停止層126可具有介於約20奈米至約25奈米範圍內的厚度。
圖18的剖視圖1800中仍示出,在記體憶胞元之上形成環繞記憶體胞元的上部介電層136。上部介電層136可例如為低介
電常數電介質或極低介電常數電介質。在一些實施例中,形成上部介電層136的製程包括沈積中間層間介電層,且對中間層間介電層執行化學機械研磨(chemical mechanical polish,CMP),以將中間層間介電層的頂表面平坦化。
如圖19的剖視圖1900中所示,形成穿過上部介電層136及硬罩幕絕緣體120的頂部電極通孔開口1902,且頂部電極通孔開口1902延伸至頂部電極118。接著形成填充頂部電極通孔開口1902的導電層,以形成頂部電極通孔132。導電層可為例如金屬(例如銅或鎢)。形成導電層的製程可包括沈積中間導電層,中間導電層填充頂部電極通孔開口1902且懸於上部介電層136之上,以形成頂部電極通孔132且形成頂部金屬化線134。接著可使用微影將導電層圖案化。在一些實施例中,可藉由單鑲嵌製程(single damascene process)、先溝槽或先通孔雙鑲嵌製程(dual damascene process)或其他適用的金屬填充製程形成頂部電極通孔132及頂部金屬化線134。作為填充結果,頂部電極通孔132可具有與頂部電極118的凹陷上表面接觸的底表面。
圖20示出形成記憶體裝置的方法2000的流程圖的一些實施例。儘管相對於圖8至圖19闡述方法2000,然而應理解,方法2000並非僅限於圖8至圖19中所揭露的此種結構,而是可獨立於圖8至圖19中所揭露的結構而單獨存在。相似地,應理解,圖8至圖19中所揭露的結構並非僅限於方法2000,而是可作為獨立於方法2000的結構而單獨存在。另外,儘管所揭露的方法(例
如,方法2000)在以下被示出並闡述為一系列動作或事件,然而應理解,此種動作或事件的所示出的次序不應被解釋為具有限制性意義。舉例而言,一些動作可以不同的次序進行及/或與除本文中所示出及/或闡述的動作或事件以外的其他動作或事件同時進行。另外,可能並不需要所有所示出的動作來實施本文中所作說明的一或多個態樣或實施例。此外,本文中所繪示的動作中的一或多者可在一或多個單獨的動作及/或階段中施行。
在動作2002處,在基底的下部內連線結構之上形成記憶體胞元堆疊。記憶體胞元堆疊可至少包括位於基底之上的底部電極層、電阻切換介電層及頂部電極層。下部內連線結構可包括被底部層間介電層在橫向上環繞的底部金屬化線。形成穿過下部介電層的底部通孔,以將底部金屬化線電性耦合至底部電極層。底部電極通孔可為藉由原子層沈積(ALD)製程、隨後藉由平坦化製程形成的氮化鈦層。可藉由一系列氣相沈積技術(例如,物理氣相沈積、化學氣相沈積等)在下部介電層之上沈積記憶體胞元堆疊。在一些實施例中,底部電極層可包含金屬氮化物(例如,氮化鈦(TiN)、氮化鉭(TaN)等)及/或金屬(例如,鈦(Ti)、鉭(Ta)等)。在一些實施例中,電阻切換介電層可包括磁性穿遂接面(MTJ)結構,磁性穿遂接面結構具有固定磁性層及自由磁性層,固定磁性層與自由磁性層被介電障壁層在垂直方向上隔開。在其他實施例中,電阻切換介電層可包括RRAM介電資料儲存層。在一些實施例中,頂部電極層可包含氮化鈦(TiN)或氮化鉭
(TaN)、金屬(例如鈦(Ti)或鉭(Ta)銅)等。圖8至圖9示出與動作2002對應的剖視圖800及剖視圖900的一些實施例。
在動作2004處,在記憶體胞元堆疊之上形成硬遮罩堆疊。硬遮罩堆疊可包括位於底部並接觸頂部電極層的第一絕緣層及形成於第一絕緣層上的第一金屬硬遮罩層。第一金屬硬遮罩層可由與頂部電極層的材料(例如,鎢)不同的第二種類金屬材料(例如,鉭)製成。第一絕緣層將頂部電極層與第一金屬硬遮罩層隔開,進而防止第一金屬硬遮罩層(或頂部電極層)的收縮問題或頸縮問題。在另一些實施例中,硬遮罩堆疊可由多於一組的堆疊於彼此之上的金屬硬遮罩層與絕緣層形成。附加組的金屬硬遮罩層及絕緣層為記憶體胞元圖案化提供更大的遮罩厚度。可在所述各組金屬硬遮罩層及絕緣層之上形成第一介電硬遮罩層。在另一些實施例中,硬遮罩堆疊可更包括多於一個的堆疊於彼此之上的介電硬遮罩層,以為記憶體胞元圖案化提供更大的遮罩厚度。介電硬遮罩層可被非晶碳膜或類金剛石碳膜隔開。圖10至圖11示出與動作2004對應的剖視圖1000及剖視圖1100的一些實施例。
在動作2006處,將硬遮罩堆疊圖案化。可藉由第一系列蝕刻而根據圖案化層將介電硬遮罩層圖案化。藉由第二系列蝕刻而根據經圖案化的介電硬遮罩層將金屬硬遮罩層及絕緣層(例如,第二金屬硬遮罩層1114、第二絕緣層1112、第一金屬硬遮罩層1110、第一絕緣層1108)圖案化。可對第一絕緣層進行蝕刻以
在頂部電極層的頂部上形成硬罩幕絕緣體。圖12至圖13示出與動作2006對應的剖視圖1200及剖視圖1300的一些實施例。
在動作2008處,將記憶體胞元堆疊圖案化。在一些實施例中,根據經圖案化的硬遮罩堆疊將頂部電極層圖案化,以形成頂部電極。可根據頂部電極將電阻切換介電層圖案化以形成電阻切換介電層。在圖案化製程期間,可局部地移除或減小硬遮罩堆疊。在一些實施例中,電阻切換介電層的側壁與頂部電極的側壁可為傾斜且對準的(例如,共面)。圖14至圖15示出與動作2008對應的剖視圖1400及剖視圖1500的一些實施例。
在動作2010處,在一些實施例中,在底部電極層上以及沿電阻切換介電層的側壁及頂部電極的側壁形成側壁間隔件。可藉由氣相沈積技術(例如,化學氣相沈積等)沿底部電極層的上表面沈積介電間隔件層來形成側壁間隔件,側壁間隔件沿電阻切換介電層的側壁表面、頂部電極的側壁表面及硬罩幕的側壁表面延伸且覆蓋硬罩幕的頂表面。接著執行非等向性蝕刻(例如,垂直蝕刻),以根據側壁間隔件及硬罩幕絕緣體進行圖案化且形成底部電極。圖16示出與動作2010對應的剖視圖1600的一些實施例。
在動作2012處,在一些實施例中,根據側壁間隔件將底部電極層圖案化以形成底部電極。在一些實施例中,底部電極的側壁與側壁間隔件的側壁可為傾斜且對準的(例如,共面)。圖17示出與動作2012對應的剖視圖1700的一些實施例。
在動作2014處,可藉由氣相沈積技術(例如,物理氣相沈積、化學氣相沈積等)形成蝕刻停止層,以準備保護記憶體胞元免受頂部電極通孔開口及著陸製程的影響。藉由沈積中間層間介電層且對中間層間介電層執行化學機械研磨(CMP)以將中間層間介電層的頂表面平坦化而在記憶體胞元之上形成環繞記憶體胞元的介電層。圖18示出與動作2014對應的剖視圖1800的一些實施例。
在動作2016處,形成穿過介電層、蝕刻停止層及硬罩幕絕緣體的頂部電極通孔,且頂部電極通孔延伸至頂部電極。在頂部電極通孔上形成頂部金屬化線,且頂部金屬化線可懸於介電層之上。頂部電極通孔可具有與頂部電極的凹陷上表面接觸的底表面。圖19示出與動作2016對應的剖視圖1900的一些實施例。
應理解,儘管在論述本文中所述方法的各態樣時,通篇參考了示例性結構,然而該些方法不受所呈現的對應的結構限制。相反,所述方法(及結構)被認為彼此獨立且能夠單獨地實作,而不考慮各圖中所繪示的特定態樣中的任意者。另外,可以任何合適的方式(例如利用旋塗、濺鍍、生長及/或沈積技術等)形成本文中所述的層。
另外,熟習此項技術者可基於對本說明書及隨附圖式的閱讀及/或理解而進行等效的變更及/或修改。本文中的揭露內容包括此種修改及變更且大體而言不旨在受此種修改及變更限制。舉例而言,儘管本文中提供的圖被示出並闡述為具有特定摻雜類
型,然而應理解,熟習此項技術者將理解可利用替代摻雜類型。
因此,自以上所述可理解,在一些實施例中,本揭露提供一種製造積體電路(integrated circuit,IC)的方法。在所述方法中,在基底之上形成記憶體胞元堆疊,所述記憶體胞元堆疊具有底部電極層、電阻切換介電層及頂部電極層,所述電阻切換介電層位於所述底部電極層之上,所述頂部電極層位於所述電阻切換介電層之上。在所述頂部電極層之上形成第一絕緣層。在所述第一絕緣層之上形成第一金屬硬遮罩層。接著,執行一系列蝕刻,以將所述第一金屬硬遮罩層、所述第一絕緣層、所述頂部電極層及所述電阻切換介電層圖案化,進而形成第一金屬硬罩幕、硬罩幕絕緣體、頂部電極及電阻切換介電層。
在一些實施例中,所述頂部電極層由鎢製成,且所述第一金屬硬遮罩層由氮化鉭製成。
在一些實施例中,所述第一絕緣層由二氧化矽、氮化矽、碳化矽或其組合製成。
在一些實施例中,在執行所述一系列蝕刻之前,更包括:在所述第一金屬硬遮罩層之上形成第二絕緣層;以及在所述第二絕緣層之上形成第二金屬硬遮罩層;其中所述第二絕緣層由二氧化矽製成,且所述第二金屬硬遮罩層由鉭製成。
在一些實施例中,在執行所述一系列蝕刻之前,更包括:在所述第二金屬硬遮罩層上直接形成第一介電硬遮罩層。
在一些實施例中,在執行所述一系列蝕刻之前,更包
括:在所述第一介電硬遮罩層之上形成非晶碳膜,且在所述非晶碳膜之上形成第二介電硬遮罩層。
在一些實施例中,在將所述頂部電極層圖案化之後,移除所述第二介電硬遮罩層及所述非晶碳膜。
在一些實施例中,在將所述電阻切換介電層圖案化之後,移除所述第二金屬硬遮罩層及所述第二絕緣層。
在一些實施例中,所述第一絕緣層具有介於約3奈米至約10奈米範圍內的厚度。
在一些實施例中,記憶體胞元的製造方法更包括:在所述底部電極層之上形成介電間隔件層,所述介電間隔件層沿所述電阻切換介電層及所述頂部電極延伸,且進一步延伸於所述硬罩幕絕緣體之上。
在一些實施例中,記憶體胞元的製造方法,更包括:對所述介電間隔件層執行第一蝕刻,以形成沿所述電阻切換介電層、所述頂部電極及所述硬罩幕絕緣體的側壁的側壁間隔件;以及執行第二蝕刻,以根據所述硬罩幕絕緣體及所述側壁間隔件將所述底部電極層圖案化,進而形成底部電極,其中所述底部電極具有與所述側壁間隔件的側壁對準的側壁。
在一些實施例中,記憶體胞元的製造方法更包括:在所述基底之上形成蝕刻停止層,所述蝕刻停止層沿所述底部電極、所述側壁間隔件延伸,且進一步延伸於所述硬罩幕絕緣體之上;形成位於所述蝕刻停止層之上且環繞所述蝕刻停止層的上部介電
層;以及形成頂部電極通孔,所述頂部電極通孔延伸穿過所述上部介電層及所述硬罩幕絕緣體而到達所述頂部電極。
在一些實施例中,所述介電間隔件層直接形成於所述底部電極層上。
在另一實施例中,本揭露是有關於一種製造積體電路(IC)的方法。在所述方法中,在基底之上形成記憶體胞元堆疊,所述記憶體胞元堆疊具有底部電極層、電阻切換介電層、頂部電極層,所述電阻切換介電層位於所述底部電極層之上,所述頂部電極層位於所述電阻切換介電層之上。在所述記憶體胞元堆疊之上形成硬遮罩堆疊。所述硬遮罩堆疊包括第一絕緣層及第一金屬硬遮罩層,所述第一絕緣層位於所述硬遮罩堆疊的底部處並接觸所述頂部電極層,所述第一金屬硬遮罩層由與所述頂部電極層的材料不同的金屬材料製成。執行一系列蝕刻,以將所述硬遮罩堆疊、所述頂部電極層、所述電阻切換介電層及所述底部電極層圖案化,進而形成硬罩幕絕緣體、頂部電極、電阻切換介電層及底部電極。
在一些實施例中,記憶體胞元的製造方法更包括:在所述基底之上形成側壁間隔件,所述側壁間隔件沿所述底部電極的側壁、所述電阻切換介電層的側壁、所述頂部電極的側壁及所述硬罩幕絕緣體的側壁向上延伸;以及在所述側壁間隔件及所述硬罩幕絕緣體的上表面上直接形成蝕刻停止層,且所述蝕刻停止層對所述側壁間隔件及所述硬罩幕絕緣體的上表面進行共形地襯
覆。
在一些實施例中,記憶體胞元的製造方法,更包括藉由以下方式形成頂部電極通孔:形成位於所述蝕刻停止層之並環繞所述蝕刻停止層的上部介電層;及執行蝕刻以形成穿過所述上部介電層及所述硬罩幕絕緣體的通孔開口;以及用金屬材料填充所述頂部電極通孔,以形成具有與所述硬罩幕絕緣體及所述蝕刻停止層接觸的側壁的頂部電極通孔。
在又一實施例中,本揭露是有關於一種記憶體裝置。所述記憶體裝置包括底部電極及電阻切換介電層,所述底部電極設置於基底之上,所述電阻切換介電層設置於所述底部電極之上且具有可變電阻。頂部電極設置於所述電阻切換介電層之上。硬罩幕絕緣體直接設置於所述頂部電極上。頂部電極通孔被設置成穿過所述硬罩幕絕緣體到達所述頂部電極上。所述硬罩幕絕緣體直接接觸所述頂部電極且包含絕緣材料。
在一些實施例中,所述頂部電極由鎢製成,且所述硬罩幕絕緣體包含具有介於約3奈米至約10奈米範圍內的厚度的二氧化矽。
在一些實施例中,記憶體胞元更包括:側壁間隔件,設置於所述底部電極的上表面上且沿所述電阻切換介電層的側壁及所述頂部電極的側壁向上延伸;以及蝕刻停止層,對所述側壁間隔件及所述硬罩幕絕緣體進行直接且共形地襯覆;其中所述側壁間隔件及所述蝕刻停止層由氮化矽或碳化矽製成。
在一些實施例中,記憶體胞元更包括:底部金屬化線,被底部層間介電層環繞且經由底部電極通孔耦合至所述底部電極;頂部金屬化線,被頂部層間介電層環繞且經由所述頂部電極通孔耦合至所述頂部電極。
以上概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本揭露的各個態樣。熟習此項技術者應理解,他們可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。熟習此項技術者亦應認識到,該些等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下對其作出各種改變、代替及變更。
2000:方法
2002、2004、2006、2008、2010、2012、2014、2016:動作
Claims (10)
- 一種記憶體胞元的製造方法,包括:在基底之上形成記憶體胞元堆疊,所述記憶體胞元堆疊包括底部電極層、電阻切換介電層及頂部電極層,所述電阻切換介電層位於所述底部電極層之上,所述頂部電極層位於所述電阻切換介電層之上;在所述頂部電極層之上形成第一絕緣層;在所述第一絕緣層之上形成第一金屬硬遮罩層;以及執行一系列蝕刻,以將所述第一金屬硬遮罩層、所述第一絕緣層、所述頂部電極層及所述電阻切換介電層圖案化,進而形成第一金屬硬罩幕、硬罩幕絕緣體、頂部電極及電阻切換介電層。
- 如請求項1所述的記憶體胞元的製造方法,在執行所述一系列蝕刻之前,更包括:在所述第一金屬硬遮罩層之上形成第二絕緣層;以及在所述第二絕緣層之上形成第二金屬硬遮罩層;其中所述第二絕緣層由二氧化矽製成,且所述第二金屬硬遮罩層由鉭製成。
- 如請求項2所述的記憶體胞元的製造方法,在執行所述一系列蝕刻之前,更包括:在所述第二金屬硬遮罩層上直接形成第一介電硬遮罩層。
- 如請求項3所述的記憶體胞元的製造方法,在執行所述一系列蝕刻之前,更包括:在所述第一介電硬遮罩層之上形 成非晶碳膜,且在所述非晶碳膜之上形成第二介電硬遮罩層。
- 如請求項4所述的記憶體胞元的製造方法,其中在將所述頂部電極層圖案化之後,移除所述第二介電硬遮罩層及所述非晶碳膜。
- 如請求項5所述的記憶體胞元的製造方法,其中在將所述電阻切換介電層圖案化之後,移除所述第二金屬硬遮罩層及所述第二絕緣層。
- 一種記憶體胞元的製造方法,所述方法包括:在基底之上形成記憶體胞元堆疊,所述記憶體胞元堆疊包括底部電極層、電阻切換介電層、頂部電極層,所述電阻切換介電層位於所述底部電極層之上,所述頂部電極層位於所述電阻切換介電層之上;在所述記憶體胞元堆疊之上形成硬遮罩堆疊,其中所述硬遮罩堆疊包括第一絕緣層及第一金屬硬遮罩層,所述第一絕緣層位於所述硬遮罩堆疊的底部處並接觸所述頂部電極層,所述第一金屬硬遮罩層由與所述頂部電極層的材料不同的金屬材料製成;以及執行一系列蝕刻,以將所述硬遮罩堆疊、所述頂部電極層、所述電阻切換介電層及所述底部電極層圖案化,進而形成硬罩幕絕緣體、頂部電極、電阻切換介電層及底部電極。
- 一種記憶體胞元,包括:底部電極,設置於基底之上; 電阻切換介電層,設置於所述底部電極之上且具有可變電阻;頂部電極,設置於所述電阻切換介電層之上;硬罩幕絕緣體,直接設置於所述頂部電極上;頂部電極通孔,被設置成穿過所述硬罩幕絕緣體到達所述頂部電極;以及側壁間隔件,設置於所述底部電極的上表面上且沿所述電阻切換介電層的側壁及所述頂部電極的側壁向上延伸,其中所述硬罩幕絕緣體直接接觸所述頂部電極且包含絕緣材料。
- 如請求項8所述的記憶體胞元,更包括:蝕刻停止層,對所述側壁間隔件及所述硬罩幕絕緣體進行直接且共形地襯覆;其中所述側壁間隔件及所述蝕刻停止層由氮化矽或碳化矽製成。
- 如請求項8所述的記憶體胞元,更包括:底部金屬化線,被底部層間介電層環繞且經由底部電極通孔耦合至所述底部電極;頂部金屬化線,被頂部層間介電層環繞且經由所述頂部電極通孔耦合至所述頂部電極。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16/866,704 US11495743B2 (en) | 2020-05-05 | 2020-05-05 | Non-volatile memory device and manufacturing technology |
US16/866,704 | 2020-05-05 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202143522A TW202143522A (zh) | 2021-11-16 |
TWI776362B true TWI776362B (zh) | 2022-09-01 |
Family
ID=76923102
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110102147A TWI776362B (zh) | 2020-05-05 | 2021-01-20 | 記憶體胞元及其製造方法 |
Country Status (5)
Country | Link |
---|---|
US (2) | US11495743B2 (zh) |
KR (1) | KR102518679B1 (zh) |
CN (1) | CN113178520B (zh) |
DE (1) | DE102020112783A1 (zh) |
TW (1) | TWI776362B (zh) |
Families Citing this family (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2018175973A1 (en) | 2017-03-23 | 2018-09-27 | Arizona Board Of Regents On Behalf Of Arizona State University | Physical unclonable functions with copper-silicon oxide programmable metallization cells |
US11244722B2 (en) * | 2019-09-20 | 2022-02-08 | Arizona Board Of Regents On Behalf Of Arizona State University | Programmable interposers for electrically connecting integrated circuits |
US11751405B2 (en) | 2020-09-25 | 2023-09-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit and method for fabricating the same |
US11894267B2 (en) * | 2021-01-05 | 2024-02-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for fabricating integrated circuit device |
KR20220115645A (ko) * | 2021-02-08 | 2022-08-18 | 삼성전자주식회사 | 반도체 소자 및 그 제조방법 |
US11825753B2 (en) * | 2021-08-19 | 2023-11-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory cell, integrated circuit, and manufacturing method of memory cell |
CN115915904A (zh) * | 2021-09-30 | 2023-04-04 | 联华电子股份有限公司 | 半导体元件及其制作方法 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201729443A (zh) * | 2015-11-13 | 2017-08-16 | 台灣積體電路製造股份有限公司 | 電阻式隨機存取記憶體結構及其製造方法 |
US20200075857A1 (en) * | 2018-08-30 | 2020-03-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Top electrode last scheme for memory cell to prevent metal redeposit |
Family Cites Families (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3666877B2 (ja) | 1996-03-15 | 2005-06-29 | 株式会社ルネサステクノロジ | 半導体記憶装置およびその製造方法 |
KR20030002095A (ko) | 2001-06-30 | 2003-01-08 | 주식회사 하이닉스반도체 | 강유전체 메모리 소자의 캐패시터 제조 방법 |
KR100454255B1 (ko) * | 2002-12-30 | 2004-10-26 | 주식회사 하이닉스반도체 | 하드마스크를 이용한 캐패시터의 제조 방법 |
WO2010146850A1 (ja) | 2009-06-18 | 2010-12-23 | パナソニック株式会社 | 不揮発性記憶装置及びその製造方法 |
KR101870873B1 (ko) | 2011-08-04 | 2018-07-20 | 에스케이하이닉스 주식회사 | 반도체 소자의 제조방법 |
KR102249872B1 (ko) | 2014-03-26 | 2021-05-11 | 인텔 코포레이션 | 환상 콘택트들을 갖는 스핀 전달 토크 메모리(sttm) 소자들을 형성하는 기술들 |
US9431603B1 (en) * | 2015-05-15 | 2016-08-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | RRAM device |
US20160351799A1 (en) | 2015-05-30 | 2016-12-01 | Applied Materials, Inc. | Hard mask for patterning magnetic tunnel junctions |
US9876169B2 (en) * | 2015-06-12 | 2018-01-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | RRAM devices and methods |
US9847481B2 (en) * | 2015-10-27 | 2017-12-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal landing on top electrode of RRAM |
US9553265B1 (en) * | 2016-01-14 | 2017-01-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | RRAM device with data storage layer having increased height |
US10163981B2 (en) | 2016-04-27 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal landing method for RRAM technology |
US10276485B2 (en) | 2017-08-02 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming a homogeneous bottom electrode via (BEVA) top surface for memory |
US11289651B2 (en) * | 2017-09-01 | 2022-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device having via landing protection |
US10522394B2 (en) * | 2017-09-25 | 2019-12-31 | Marvell World Trade Ltd. | Method of creating aligned vias in ultra-high density integrated circuits |
CN109755126B (zh) * | 2017-11-07 | 2021-02-12 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件的制造方法 |
US10868237B2 (en) * | 2018-08-27 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned encapsulation hard mask to separate physically under-etched MTJ cells to reduce conductive R-deposition |
US10840441B2 (en) * | 2018-09-14 | 2020-11-17 | International Business Machines Corporation | Diamond-like carbon hardmask for MRAM |
US10985316B2 (en) | 2018-09-27 | 2021-04-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bottom electrode structure in memory device |
US10672611B2 (en) * | 2018-10-19 | 2020-06-02 | International Business Machines Corporation | Hardmask stress, grain, and structure engineering for advanced memory applications |
US11196000B2 (en) * | 2019-11-01 | 2021-12-07 | International Business Machines Corporation | Low forming voltage non-volatile memory (NVM) |
-
2020
- 2020-05-05 US US16/866,704 patent/US11495743B2/en active Active
- 2020-05-12 DE DE102020112783.9A patent/DE102020112783A1/de active Pending
- 2020-08-06 KR KR1020200098279A patent/KR102518679B1/ko active IP Right Grant
-
2021
- 2021-01-20 TW TW110102147A patent/TWI776362B/zh active
- 2021-02-09 CN CN202110177533.7A patent/CN113178520B/zh active Active
-
2022
- 2022-07-26 US US17/873,283 patent/US20220367811A1/en active Pending
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201729443A (zh) * | 2015-11-13 | 2017-08-16 | 台灣積體電路製造股份有限公司 | 電阻式隨機存取記憶體結構及其製造方法 |
US20200075857A1 (en) * | 2018-08-30 | 2020-03-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Top electrode last scheme for memory cell to prevent metal redeposit |
Also Published As
Publication number | Publication date |
---|---|
KR102518679B1 (ko) | 2023-04-05 |
US20220367811A1 (en) | 2022-11-17 |
US11495743B2 (en) | 2022-11-08 |
CN113178520B (zh) | 2024-01-09 |
CN113178520A (zh) | 2021-07-27 |
DE102020112783A1 (de) | 2021-11-11 |
TW202143522A (zh) | 2021-11-16 |
KR20210135914A (ko) | 2021-11-16 |
US20210351348A1 (en) | 2021-11-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI776362B (zh) | 記憶體胞元及其製造方法 | |
US11367623B2 (en) | Structure and method to expose memory cells with different sizes | |
TWI725437B (zh) | 針對磁阻式隨機存取記憶體磁性穿隧接面中頂部電極與金屬層之間包含間隔件的介面的技術 | |
CN110875352B (zh) | 集成电路、mram单元和用于制造存储器件的方法 | |
US20220216404A1 (en) | Memory device having via landing protection | |
US9985075B2 (en) | Dummy bottom electrode in interconnect to reduce CMP dishing | |
US11785862B2 (en) | Via landing enhancement for memory device | |
US10109793B2 (en) | Bottom electrode for RRAM structure | |
US11800818B2 (en) | Top electrode last scheme for memory cell to prevent metal redeposit | |
CN112542542B (zh) | 集成芯片及其形成方法 | |
US11594679B2 (en) | Structure improving reliability of top electrode contact for resistance switching RAM having cells of varying height | |
US11751405B2 (en) | Integrated circuit and method for fabricating the same |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent |