DE102009010883A1 - Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der Transistorherstellung mittels eines Zwischenoxidationsprozesses - Google Patents

Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der Transistorherstellung mittels eines Zwischenoxidationsprozesses Download PDF

Info

Publication number
DE102009010883A1
DE102009010883A1 DE102009010883A DE102009010883A DE102009010883A1 DE 102009010883 A1 DE102009010883 A1 DE 102009010883A1 DE 102009010883 A DE102009010883 A DE 102009010883A DE 102009010883 A DE102009010883 A DE 102009010883A DE 102009010883 A1 DE102009010883 A1 DE 102009010883A1
Authority
DE
Germany
Prior art keywords
silicon
layer
alloy
forming
semiconductor alloy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102009010883A
Other languages
English (en)
Other versions
DE102009010883B4 (de
Inventor
Stephan Kronholz
Vassilios Austin Papageorgiou
Martin Trentzsch
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Original Assignee
AMD Fab 36 LLC and Co KG
Advanced Micro Devices Inc
AMD Fab 36 LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AMD Fab 36 LLC and Co KG, Advanced Micro Devices Inc, AMD Fab 36 LLC filed Critical AMD Fab 36 LLC and Co KG
Priority to DE102009010883A priority Critical patent/DE102009010883B4/de
Priority to US12/707,918 priority patent/US8735253B2/en
Publication of DE102009010883A1 publication Critical patent/DE102009010883A1/de
Application granted granted Critical
Publication of DE102009010883B4 publication Critical patent/DE102009010883B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66651Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Die Konzentration einer Nicht-Siliziumsorte in einer Halbleiterlegierung, etwa einer Silizium/Germanium-Legierung, kann nach einem selektiven epitaktischen Aufwachsprozess erhöht werden, indem ein Teil der Halbleiterlegierung oxidiert und der oxidierte Bereich entfernt wird. Während der Oxidation reagiert vorzugsweise die Siliziumsorte derart, dass ein Siliziumdioxidmaterial gebildet wird, während die Germaniumsorte in die verbleibende Halbleiterlegierung getrieben wird, wodurch deren Konzentration erhöht wird. Folglich wird die Schwellwerteinstellung moderner Transistorelemente mit besserer Prozessgleichmäßigkeit auf der Grundlage einer gegebenen Parametereinstellung für den epitaktischen Aufwachsprozess erreicht, wobei dennoch für ein hohes Maß an Flexibilität bei der Einstellung der Zusammensetzung des schwellwerteinstellenden Materials gesorgt wird. In anderen Fällen kann zusätzlich oder alternativ zur Herstellung einer schwellwerteinstellenden Halbleiterlegierung auch eine verformungsinduzierende Halbleiterlegierung mit erhöhter Flexibilität zur Anwendung der zuvor beschriebenen Prozesssequenz bereitgestellt werden.

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung modernste integrierte Schaltungen mit komplexen Transistorelementen, die Gatestrukturen mit hoher Kapazität mit einer metallenthaltenden Elektrode und einem Gatedielektrikum mit großem ε mit erhöhter Permittivität im Vergleich zu konventionellen Gatedielektrika, etwa Siliziumdioxid und Siliziumnitrid aufweisen.
  • Beschreibung des Stands der Technik
  • Die Herstellung moderner integrierter Schaltungen, etwa von CPU's, Speicherbauelementen, ASIC's (anwendungsspezifische integrierte Schaltungen) und dergleichen fordert das Ausbilden einer großen Anzahl an Schaltungselementen auf einer vorgegebenen Chipfläche gemäß einem spezifizierten Schaltungsaufbau, wobei Feldeffekttransistoren eine wichtige Art an Schaltungselementen repräsentieren, die im Wesentlichen das Leistungsverhalten integrierter Schaltungen bestimmen. Im Allgemeinen werden mehrere Prozesstechnologien aktuell eingesetzt, wobei für viele Arten komplexer Schaltungen mit Feldeffekttransistoren die MOS-Technologie aktuell eine der vielversprechendsten Vorgehensweisen auf Grund der gute Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder Leistungsaufnahme und/oder Kosteneffizienz ist. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung von beispielsweise der MOS-Technologie werden Millionen Transistoren, beispielsweise n-Kanaltransistoren und/oder p-Kanaltransistoren, auf einem Substrat hergestellt, das eine kristalline Halbleiterschicht aufweist. Ein Feldeffekttransistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, sogenannte pn-Übergänge, die durch eine Grenzfläche stark dotierter Gebiete, die als Drain- und Souregebiete bezeichnet werden, mit einem leicht dotierten oder nicht dotierten Gebiet, etwa ein Kanalgebiet, gebildet sind, dass benachbart zu den stark dotierten Gebieten angeordnet ist. In einem Feldeffekttransistor ist die Leitfähigkeit des Kanalgebiets, d. h. der Durchlassstrom des leitenden Kanals, durch eine Gateelektrode gesteuert, die benachbart zu dem Kanalgebiet angeordnet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Aufbau eines leitenden Kanals auf Grund des Anlegens einer geeigneten Steuerspannung an die Gateelektrode hängt von der Dotierstoffkonzentration, der Beweglichkeit der Ladungsträger und – für eine gegebene Abmessung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Sourcegebiet und dem Draingebiet ab, der auch als Kanallänge bezeichnet wird. Somit beeinflusst in Verbindung mit der Fähigkeit, rasch einen leitenden Kanal unter der isolierenden Schicht beim Anlegen der Steuerspannung an die Gateelektrode aufzubauen, die Leitfähigkeit des Kanalgebiets wesentlich das Leistungsverhalten von MOS-Transistoren. Da die Geschwindigkeit des Erzeugens des Kanals, die von der Leitfähigkeit der Gateelektrode abhängt, und der Kanalwiderstand wesentlich die Transistoreigenschaften beeinflussen, ist die Verringerung der Kanallänge – und damit verknüpft die Verringerung des Kanalwiderstands und des Gatewiderstands – ein wichtiges Entwurfskriterium, um eine Zunahme der Arbeitsgeschwindigkeit integrierter Schaltungen zu erreichen.
  • Gegenwärtig wird der Großteil der integrierten Schaltungen auf der Grundlage von Silizium auf Grund der nahezu beschränkten Verfügbarkeit, den gut verstandenen Eigenschaften von Silizium zugehörigen Materialien und Prozessen und der Erfahrung, die für die letzten 50 Jahre gewonnen wurde, hergestellt. Daher bleibt Silizium mit hoher Wahrscheinlichkeit das Material der Wahl in der vorhersehbaren Zukunft für Schaltungsgenerationen, die für Massenprodukte vorgesehen sind. Ein Grund für die große Bedeutung des Siliziums bei der Herstellung von Halbleiterbauelementen besteht in den guten Eigenschaften einer Silizium/Siliziumdioxidgrenzfläche, die eine zuverlässige elektrische Isolation unterschiedlicher Gebiete voneinander ermöglicht. Die Silizium/Siliziumdioxidgrenzfläche ist bei hohen Temperaturen stabil und ermöglicht somit das Ausführen nachfolgender Hochtemperaturprozesse, wie sie beispielsweise für Ausheizprozesse zum Aktivieren von Dotierstoffen und zum Ausheilen von Kristallschäden erforderlich sind, ohne die elektrischen Eigenschaften der Grenzfläche zu beeinträchtigen.
  • Aus zuvor dargelegten Gründen wird Siliziumdioxid vorzugsweise als eine Gateisolationsschicht in Feldeffekttransistoren eingesetzt, die die Gateelektrode, die häufig aus Polysilizium oder anderen Materialien aufgebaut ist, von dem Kanalgebiet trennt. Beim stetigen Verbessern des Leistungsverhaltens von Feldeffekttransistoren wird die Länge des Kanalgebiets kontinuierliche verringert, um die Schaltgeschwindigkeit und den Durchlassstrom zu erhöhen. Da das Transistorverhalten durch die Spannung gesteuert ist, die der Gateelektrode zum Invertieren der Oberfläche des Kanalgebiets zur Erzeugung einer ausreichend hohen Ladungsträgerdichte zugeführt wird, um damit den gewünschten Durchlassstrom bei einer vorgegebenen Versorgungsspannung zu erreichen, ist ein gewisses Maß an kapazitiver Kopplung erforderlich, die durch den Kondensator gebildet wird, der durch die Gateelektrode, das Kanalgebiet und das dazwischen angeordnete Siliziumdioxid gebildet ist. Es zeigt sich jedoch, dass eine Verringerung der Kanallänge eine erhöhte kapazitive Kopplung erfordert, um das sogenannte Kurzkanalverhalten während des Transistorbetriebs zu vermeiden. Das Kurzkanalverhalten kann zu erhöhten Leckströmen und zu einer ausgeprägten Abhängigkeit der Schwellwertspannung von der Kanallänge führen. Aggressiv skalierte Transistorbauelemente mit einer relativ geringen Versorgungsspannung und damit mit einer geringen Schwellwertspannung weisen eine exponentielle Zunahme des Leckstromes bei der erhöhten kapazitiven Kopplung der Gateelektrode an das Kanalgebiet auf. Da die Dicke der Siliziumdioxidschicht entsprechend verringert werden muss, um die erforderliche Kapazität zwischen dem Gate und dem Kanalgebiet zu erreichen. Beispielsweise erfordert eine Kanallänge von ungefähr 0,08 μm ein Gatedielektrikum aus Siliziumdioxid mit einer Dicke von ungefähr 1,2 nm. Obwohl im Allgemeinen die Verwendung von Hochgeschwindigkeitstransistorelementen mit einem extrem kurzen Kanal auf Hochgeschwindigkeitsanwendungen beschränkt ist, wohingegen Transistorelemente mit einem längeren Kanal für weniger kritische Anwendungen eingesetzt werden, etwa als Speichertransistorelemente, erreicht der relativ hohe Leckstrom, der durch das direkte Tunneln von Ladungsträgern eine sehr dünne Siliziumgateisolationsschicht hervorgerufen wird, Werte bei einer Oxiddicke im Bereich von 1 bis 2 nm, die nicht mehr mit den Erfordernissen für modernste integrierte Schaltungen verträglich sind.
  • Daher wurde das Ersetzen von Siliziumdioxid als Materialisolationsschichten in Betracht gezogen, insbesondere Transistoren, die äußerst dünne Siliziumgateschichten erfordern. Mögliche alternative Dielektrika beinhalten solche, die eine deutlich höhere Permittivität besitzen, so dass eine physikalisch größere Dicke einer entsprechend gebildeten Gateisolationsschicht dennoch für eine kapazitive Kopplung sorgt, die ansonsten mittels einer extrem dünnen Siliziumdioxidschicht erreicht wird.
  • Es wurde daher vorgeschlagen, Siliziumdioxid durch Materialien mit hoher Permittivität zu ersetzen, etwa Tantaloxid (Ta2O5) mit einem ε von ungefähr 25, Strontiumtitanoxid (SrTiO3) mit einem ε von ungefähr 150, Hafniumoxid (HfO2), HfSiO2, Zirkonoxid (ZrO2), und dergleichen.
  • Beim Übergang zu komplexen Gatearchitekturen auf der Grundlage von Dielektrika mit großem ε kann die Transistorleistung weiter erhöht werden, indem ein geeignetes leitendes Material für die Gateelektrode vorgesehen wird, um damit das üblicherweise verwendete Polysiliziummaterial zu ersetzen, da Polysilizium eine Ladungsträgerverarmung in der Nähe der Grenzfläche zu dem Gatedielektrikum aufweist, wodurch die effektive Kapazität zwischen dem Kanalgebiet und der Gateelektrode verringert wird. Es wurde daher ein Gatestapel vorgeschlagen, in welchem ein dielektrisches Material mit großem ε eine erhöhte Kapazität selbst bei einer nicht so kritischen Dicke im Vergleich zu einer Siliziumdioxidschicht bietet, während Leckströme auf einem akzeptablen Niveau gehalten werden. Andererseits werden metallenthaltende nicht-Polysiliziummaterialien, etwa Titannitrid und dergleichen, so hergestellt, dass diese direkt mit dem dielektrischen Material mit großem ε in Verbindung sind, wodurch das Auftreten einer Verarmung im Wesentlichen vermieden wird. Da typischerweise eine geringe Schwellwertspannung für den Transistor gewünscht ist, die die Spannung repräsentiert, bei der sich ein leitender Kanal in dem Kanalgebiet ausbildet, um damit einen Durchlassstrom zu erreichen, erfordert üblicherweise die Steuerbarkeit des entsprechenden Kanals aufwendige laterale Dotierstoffprofile und Dotierstoffgradienten zumindest in der Nähe der pn-Übergänge. Daher werden sogenannte Halo-Gebiete typischerweise durch Ionenimplantation hergestellt, um eine Dotierstoffsorte einzuführen, deren Leitfähigkeitsart der Leitfähigkeitsart des verbleibenden Kanals und des Halbleitergebiets entspricht, um damit den resultierenden Dotierstoffgradienten am pn-Übergang in Verbindung mit entsprechenden Erweiterungsgebieten und tiefen Drain- und Sourcegebieten zu „verstärken”. Auf diese Weise bestimmt die Schwellwertspannung des Transistors wesentlich die Steuerbarkeit des Kanals, wobei eine ausgeprägte Variabilität der Steuerspannung bei geringeren Gatelängen beobachtet werden kann Durch das Vorsehen eines geeigneten Halo-Implantationsgebiets kann somit die Steuerbarkeit des Kanals verbessert werden, wodurch auch die Variabilität der Schwellwertspannung, die auch als Schwellwertvariabilität bezeichnet wird, verringert wird und wodurch auch Schwankungen des Transistorleistungsverhaltens bei einer Änderung der Gatelänge reduziert werden. Da die Schwellwertspannung der Transistoren wesentlich die Austrittsarbeit des Gatematerials festgelegt ist, das mit dem Gatedielektrikumsmaterial in Kontakt ist, muss eine geeignete Einstellung der effektiven Austrittsarbeit im Hinblick auf die Leitfähigkeitsart des betrachteten Transistors sichergestellt sein. Beispielsweise werden geeignete metallenthaltende Gateelektrodenmaterialien, etwa Titannitrid, Aluminiumoxid und dergleichen häufig eingesetzt, wobei die ent sprechenden Austrittsarbeit so eingestellt wird, dass sie für eine Art an Transistor geeignet ist, etwa von n-Kanaltransistoren, während p-Kanaltransistoren eine andere Austrittsarbeit erfordern und somit ein unterschiedlich behandeltes Titannitridmaterial oder ein anderes metallenthaltendes Material erfordern, um damit die gewünschte Schwellwertspannung zu erreichen. In diesem Falle sind komplexe und aufwendige Fertigungsschemata erforderlich, um unterschiedliche Elektrodenmaterialien bereitzustellen, so dass den Erfordernissen unterschiedlicher Transistorarten Rechnung getragen wird. Aus diesem Grunde wurde auch vorgeschlagen, die Schwellwertspannung von Transistorbauelementen geeignet einzustellen, indem ein speziell gestaltetes Halbleitermaterial an der Grenzfläche an dem Dielektrikumsmaterial mit großem ε und dem Kanalgebiet des Transistors vorgesehen wird, um damit in geeigneter Weise die Bandlücke des speziell gestalteten Halbleitermaterials auf die Austrittsarbeit des metallenthaltenden Gateelektrodenmaterials „anzupassen”, um damit die gewünschte geringe Schwellwertspannung des betrachteten Transistors zu erhalten.
  • Die Einstellung der Bandlücke und somit der Schwellwertspannung moderner Transistorelemente auf der Grundlage von Silizium/Germanium ist eine vielversprechende Vorgehensweise für komplexe Transistorelemente, die ein Metallgate aufweisen. Typischerweise wird das Silizium/Germanium-Material auf der Grundlage selektiver epitaktischer Aufwachstechniken gebildet, in denen Prozessparameter so gesteuert sind, dass eine merkliche Materialabscheidung nur auf kristalline Siliziumbereiche beschränkt ist, während eine merkliche Abscheidung auf dielektrischen Oberflächenbereichen unterdrückt wird. Während dieses epitaktischen Aufwachsprozesses müssen Prozessparameterwerte, etwa die Durchflussrate der Vorstufengase, der Abscheidedruck, die Temperatur und dergleichen in dieser Weise gesteuert werden, um ein hohes Maß an Gleichmäßigkeit der Materialeigenschaften der Silizium/Germanium-Legierung über die gesamte Substratoberfläche hinweg zu erhalten. Beispielsweise muss ein sensibles Gleichgewicht zwischen der Schichtdicke, der Gitterfehlanpassung und der Silizium/Germanium-Legierung und dem Siliziummaterial, der Temperatur während des Prozesses und der Dichte des Materials beibehalten werden, um eine im Wesentlichen defektfreie Germaniumlegierung zu erhalten. Im Hinblick auf das geeignete Einstellen der Bandlücke der Silizium/Germanium-Legierung bezüglich der gewünschten Schwellwertspannung repräsentieren die Germaniumkonzentration und die resultierende Schichtdicke kritische Parameter, die nicht unabhängig von Prozessparametern eingestellt werden können, wobei gleichzeitig geringe Änderungen der Konzentration und der Schichtdicke eine deutliche Schwellwertvariabilität über einzelne Chipgebiete hinweg auch über das gesamte Halbleitersubstrat hinweg nach sich ziehen können. Daher wird in komplexen Halbleiterfertigungsstätten lediglich eine beschränkte Anzahl an unterschiedlichen Parametereinstellungen typischerweise für die diversen Halbleiterprodukte angewendet, wenn eine Silizium/Germaniumlegierung beispielsweise zum Einstellen des Schwellwerts modernster Transistorelemente erforderlich ist. Z. B. wird eine kleinere Germaniumkonzentration als gewünscht auf der Grundlage eines gut steuerbaren und zuverlässigen Fertigungsprozesses in einer modernen Halbleiterfertigungsstätte erreicht, wodurch die Flexibilität bei der Einstellung der gesamten Transistoreigenschaften deutlich beschränkt werden.
  • Es ist gut bekannt, dass die Schaltgeschwindigkeit und der Durchlassstrom von siliziumbasierten Feldeffekttransistoren erhöht werden können, indem die Gitterstruktur in dem Kanalgebiet der Transistoren verändert werden. D. h., durch Erzeugen einer kompressiven Verformung und Zugverformung in dem Kanalgebiet des Transistors kann die Ladungsträgerbeweglichkeit erhöht werden, wodurch der gewünschte Anstieg im Transistorleistungsverhalten erreicht wird. Beispielsweise führt eine kompressive Verformungskomponente, die entlang der Stromflussrichtung eines p-Kanaltransistors bei einer standardmäßigen Kristallkonfiguration des Siliziums in dem Kanalgebiet hervorgerufen wird, d. h. einer (100) Oberflächenorientierung und mit der Stromflussrichtung entlang einer <110> Kristallachse ausgerichtet, zu einer ausgeprägten Zunahme der Löcherbeweglichkeit. Daher wird häufig eine Silizium/Germanium-Legierung in die Drain- und Sourcebereiche von p-Kanaltransistoren eingebaut, was somit in einem stark verspannten Zustand auf Grund der Gitterfehlanpassung zwischen der Silizium/Germanium-Legierung und dem umgebenden Siliziummaterial hergestellt wird, wodurch ebenfalls eine entsprechende kompressive Verformungskomponente in dem benachbarten Kanalgebiet des Transistors hervorgerufen wird. Die Silizium/Germanium-Legierung kann in den Drain- und Sourcebereichen hergestellt werden, indem zuerst geeignete Aussparungen geschaffen werden und die Aussparungen auf der Grundlage eines selektiven epitaktischen Wachstumsprozesses wieder gefüllt werden, wobei die Größe der resultierenden Verformungskomponente auf der Grundlage eines lateralen Abstands der Aussparungen zu dem Kanalgebiet und der Germaniumkonzentration, die die Größe der Gitterfehlanpassung bestimmt, eingestellt werden kann. Auch in diesem Falle sind geeignete Abscheiderezepte typischerweise in modernen Halbleiterfertigungsstätten verfügbar, so dass ein im Wesentlichen defektfreies Abscheiden der Silizium/Germanium-Legierung mit einer maximalen Germaiumkonzentration möglich ist, die mit den zuvor genannten Rahmenbedingungen im Hinblick auf die Parametereinstellung verträglich ist.
  • Obwohl damit etablierte Prozessrezepte zur Herstellung einer Silizium/Germanium-Legierung in einer steuerbaren Weise verfügbar sind, bieten die verfügbaren Parametereinstellungen nicht ausreichend Flexibilität bei der Einstellung der gesamten Transistoreigenschaften, etwa im Hinblick auf die Schwellwertspannungen und dergleichen.
  • Im Hinblick auf die zuvor beschriebene Situation betrifft die vorliegende Offenbarung Techniken zur Herstellung einer siliziumenthaltenden Halbleiterlegierung auf der Grundlage erhöhter Flexibilität, wobei eines oder mehrere der zuvor genannten Probleme vermieden oder zumindest in der Auswirkung reduziert wird.
  • Überblick über die vorliegende Offenbarung
  • Im Allgemeinen betrifft die vorliegende Offenbarung Techniken zum Erweitern der Fähigkeiten der gegenwärtig verfügbarer gut etablierter Prozessrezepte zur Herstellung einer siliziumenthaltenden Halbleiterlegierung, etwa einer Silizium/Germanium-Legierung, beispielsweise in Bezug auf das Erhöhen der Konzentration einer nicht-Siliziumsorte, etwa einer Germaniumsorte, ohne dass eine erhöhte Prozessungleichmäßigkeit auftritt und ohne dass im Wesentlichen zusätzliche Kristalldefekte erzeugt werden. Zu diesem Zweck wir die siliziumenthaltende Halbleiterlegierung auf der Grundlage eines gut etablierten Prozessrezepts hergestellt und nachfolgend wird die Konzentration der nicht-Siliziumsorte eingestellt, indem beispielsweise deren Konzentration durch einen Oxidationsprozess erhöht wird, indem vorzugsweise die Siliziumsorte verbraucht wird, um ein entsprechendes Oxid zu bilden, während die nicht-Siliziumsorte in den verbleibenden nicht-oxidierten Bereich der zuvor hergestellten Halbleiterlegierung „getrieben wird”. Danach kann der oxidierte Bereich selektiv entfernt werden und somit wird eine entsprechende siliziumenthaltende Halbleiterlegierung mit einer modifizierten Konzentration erhalten, ohne dass im Wesentlichen zu zusätzlichen Kristalldefekten beigetragen wird. Der Oxidationsprozess sowie das selektive Entfernen können mit einem hohen Grad an Prozessgleichmäßigkeit ausgeführt werden, wodurch im Wesentlichen nicht zur gesamten Prozessungleichmäßigkeit beigetragen wird, die im Wesentlichen durch den anfänglich ausgeführten epitaktischen Aufwachsprozess festgelegt ist. Bei Bedarf kann eine Sequenz der Abscheidung einer Legierung, der Oxidierung der Legie rung und des Entfernens der oxidierten Bereichs ein oder mehrere Male wiederholt werden, um damit eine gewünschte Gesamtdicke der siliziumenthaltenden Halbleiterlegierung mit der modifizierten Konzentration der nicht-Siliziumsorte zu erhalten. In anderen Fällen werden zumindest der Prozess des Oxidierens eines Teils einer Halbleiterlegierung und das selektive Entfernen des oxidierten Bereichs wiederholt, um die Konzentration nicht-Siliziumsorte zu erhöhen, wobei eine anfängliche Schichtdicke in geeigneter Weise so gewählt ist, dass eine gewünschte endgültige Dicke nach dem Entfernen zweier oder mehrerer oxidierter Bereiche erreicht wird. In einigen anschaulichen Ausführungsformen wird die Anpassung der Konzentration einer nicht-Siliziumsorte auf die Herstellung eines schwellwerteinstellenden Materials angewendet, um damit eine gewünschte Bandlückenschiebung bei der Herstellung modernster Gateelektrodenstrukturen auf der Grundlage dielektrischer Materialien mit großem ε und metallenthaltender Gateelektrodenmaterialien zu erreichen. In noch anderen anschaulichen hierin offenbarten Aspekten wird die erhöhte Konzentration einer nicht-Siliziumsorte angewendet, um eine verformungsinduzierende Halbleiterlegierung in den Drain- und Sourcebereichen von Transistorelementen mit einem erhöhten inneren Verformungspegel zu schaffen, ohne dass zu einem größeren Anteil an Gitterdefekten, Dislokationen, beigetragen wird, die häufig auftreten, wenn der Anteil einer nicht-Siliziumsorte erhöht wird. In noch anderen anschaulichen hierin offenbarten Ausführungsformen wird das Konzept der Einstellung der Materialzusammensetzung einer siliziumenthaltenden Halbleiterlegierung sowohl auf die Schwellwerteinstellung als auch auf die Verformungstechnologie in einem Transistorelement angewendet, wodurch für eine erhöhte Flexibilität beim individuellen Einstellen der Transistoreigenschaften der diversen Halbleiterlegierungen auf der Grundlage eines einzelnen gut etablierten Prozessrezepts geschaffen wird.
  • Ein anschauliches hierin offenbartes Verfahren umfasst das Bilden einer ersten Schicht einer siliziumenthaltenden Halbleiterlegierung auf einem siliziumenthaltenden kristallinen Halbleitergebiet, wobei die siliziumenthaltende Halbleiterlegierung eine nicht-Siliziumsorte mit einen ersten Konzentration aufweist. Das Verfahren umfasst ferner das Bilden eines Oxids durch Verbrauchen von Material der ersten Schicht einer siliziumenthaltenden Halbleiterlegierung, wobei eine zweite Schicht einer siliziumenthaltenden Halbleiterlegierung auf dem siliziumenthaltenden Halbleitergebiet beibehalten wird, wobei die zweite Schicht eine siliziumenthaltende Halbleiterlegierung die nicht-Siliziumsorte mit einer zweiten Konzentration aufweist. Das Oxid wird von der zweiten Schicht einer siliziumenthaltenden Halbleiterlegierung entfernt. Schließlich umfasst das Verfahren das Bilden einer Gateelektrodenstruk tur eines Transistors über der zweiten Schicht einer siliziumenthaltenden Halbleiterlegierung, wobei die Gateelektrodenstruktur eine Gateisolationsschicht mit einem Dielektrikum mit großem ε und ein metallenthaltendes Gateelektrodenmaterial, das auf der Gateisolationsschicht mit einem Dielektrikum mit großem ε gebildet ist, aufweist.
  • Ein weiteres anschauliches hierin offenbartes Verfahren umfasst das Bilden einer ersten Schicht einer Silizium/Germanium-Legierung auf einem siliziumenthaltenden Halbleitergebiet, wobei die erste Schicht eine Germaniumsorte mit einer ersten Konzentration aufweist. Das Verfahren umfasst ferner das Oxidieren eines Teils der ersten Schicht einer Silizium/Germanium-Legierung, um eine zweite Schicht einer Silizium/Germanium-Legierung zu bilden, wobei die zweite Schicht eine Germaniumsorte mit einer zweiten Konzentration aufweist, die höher ist als die erste Konzentration. Ferner wird der Bereich selektiv zu der zweiten Schicht Silizium/Germanium-Legierung entfernt und es wird ein Transistorelement in und auf dem siliziumenthaltenden Halbleitergebiet auf der Grundlage der zweiten Schicht einer Silizium/Germanium-Legierung gebildet.
  • Ein noch weiteres anschauliches hierin offenbartes Verfahren umfasst das Bilden einer Aussparung in einem siliziumenthaltenden Halbleitergebiet lateral benachbart zu einer Gateelektrodenstruktur eines Transistors. Das Verfahren umfasst ferner das Bilden einer ersten Schicht einer siliziumenthaltenden Halbleiterlegierung in der Aussparung, wobei die erste Schicht eine nicht-Siliziumsorte mit einer ersten Konzentration aufweist. Das Verfahren umfasst ferner das Oxidieren eines ersten Bereichs der ersten Schicht einer siliziumenthaltenden Halbleiterlegierung, um eine zweite Schicht der siliziumenthaltenden Halbleiterlegierung zu bilden, wobei die zweite Schicht die nicht-Siliziumsorte mit einer zweiten Konzentration aufweist, die höher ist als die erste Konzentration. Ferner umfasst das Verfahren das Bilden von Drain- und Sourcegebieten in dem siliziumenthaltenden Halbleitergebiet.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Offenbarung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1c schematisch Querschnittsansichten eines Halbleiterbauelements während einer Prozesssequenz zur Herstellung einer siliziumenthaltenden Halbleiterlegierung und zum Modifizieren von deren Materialzusammensetzung in einem Bereich zeigen, indem ein Oxidationsprozess ausgeführt wird und indem ein oxidierter Bereich gemäß anschaulicher Bereich der Ausführungsformen entfernt wird;
  • 1d bis 1f schematisch Querschnittsansichten eines Halbleiterbauelements gemäß weiterer anschaulicher Ausführungsformen zeigen, in denen die Sequenz des Abscheidens der Halbleiterlegierung, des Oxidierens eines Teils davon und des Entfernens des oxidierten Bereichs wiederholt wird;
  • 1g schematisch eine Querschnittsansicht des Halbleiterbauelements in einem weiter fortgeschrittenen Fertigungsstadium zeigt, in welchem die zuvor gebildete siliziumenthaltende Halbleiterlegierung als ein schwellwerteinstellendes Material für eine Transistorart verwendet wird, die eine Gateelektrodenstruktur gemäß noch weiterer anschaulicher Ausführungsformen aufweist; und
  • 2a bis 2d schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen zeigen, in denen eine verformungsinduzierende Halbleiterlegierung auf der Grundlage einer Prozesssequenz zum Einstellen der Konzentration einer nicht-Siliziumsorte durch Oxidation und selektives Entfernen gemäß anschaulicher Ausführungsformen gebildet wird, wobei auch eine Schwellwerteinstellung durch die zuvor beschriebene Prozesssequenz erreicht wird.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Offenbarung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen beschrieben dargestellt sind, sollte beachtet werden, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, den hierin offenbarten Gegenstand auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Offenbarung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Im Allgemeinen stellt die vorliegende Offenbarung Techniken zur Herstellung einer siliziumenthaltenden Halbleiterlegierung auf der Grundlage einer erhöhten Flexibilität bereit, indem die Möglichkeiten bestehender gut etablierter Rezepte erweitert werden dahingehend, dass die Konzentration einer nicht-Siliziumsorte eingestellt wird, indem ein gut steuerbarer Oxidationsprozess und ein selektives Entfernen des oxidierten Bereichs ausgeführt werden, wodurch die Materialzusammensetzung des verbleibenden Teils der zuvor abgeschiedenen Halbleiterlegierung effizient modifiziert wird. In einigen anschaulichen Ausführungsformen wird eine Silizium/Germanium-Legierung im Hinblick auf die Germaniumkonzentration angepasst, ohne dass ein wesentlicher Beitrag zur gesamten Defektrate hervorgerufen wird, indem ein Bereich der Silizium/Germanium-Legierung oxidiert wird, beispielsweise durch Einrichten einer geeigneten Oxidationsumgebung, bei einer Trockenoxidation bei erhöhten Temperaturen bei ungefähr 900 Grad C und darüber, während welcher die Siliziumsorte vorzugsweise mit dem Sauerstoff reagiert, während die Germaniumsorte aus dem oxidierten Bereich herausgetrieben wird, wodurch die Germaniumkonzentration in der verbleibenden Halbleiterlegierung erhöht wird. Da der Oxidationsprozess auf der Grundlage gut steuerbarer Prozessbedingungen ausgeführt wird, d. h. entsprechende Oxidationsprozesse können unter Anwendung gut etablierter Parametereinstellungen ausgeführt werden, in denen die resultierende Oxidationsrate mit einem hohen Grad an Zuverlässigkeit vorhergesagbar ist, kann die Oxidation des Bereichs der Silizium/Germanium-Legierung mit einem hohen Maß an Steuerbarkeit ausgeführt werden und auch das selektive Entfernen davon wird auf der Grundlage gut etablierter Messtechniken durchgeführt, beispielsweise unter Anwendung wässriger Flusssäure (HF) und dergleichen. Folglich kann insgesamt auf der Grundlage eines anfänglichen selektiven epitaktischen Aufwachsprozesses mit einem gewünschten Grad an Prozessgleichmäßigkeit eine weitere Prozessvariabilität auf einem geringen Niveau gehalten werden im Vergleich zu epitaktischen Aufwachstechniken, in denen die Germaniumkonzentration zu erhöhen ist, beispielsweise beim Einstellen eines erforderlichen Bandlückenabstands, beim Bereitstellen einer gewünschten hohen Versorgungskomponente und dergleichen. Folglich wird eine effiziente Prozesssequenz bereitgestellt, um Silizium/Germanium-Legierung mit einem hohen Grad an Flexibilität im Hinblick auf die Germaniumkonzentration, die Schichtdicke und dergleichen auf Basis lediglich eines oder weniger gut etablierter Parametereinstellungen für den komplexen selektiven epitaktischen Aufwachsprozess bereitgestellt werden, wodurch insgesamt Ausbeuteverluste in Halbleiterfertigungsstätten verringert werden, wenn komplexe Halbleiterbauelemente herzustellen sind. Es sollte beachtet werden, dass die hierin offenbarten Prinzipien auch auf andere siliziumenthaltende Halbleitermaterialien angewendet werden können, in denen die Oxidationsrate der diversen nicht-Siliziumsorten unterscheidet, wodurch eine entsprechende Verringerung der Zunahme der Konzentration der nicht-Siliziumsorte hinter dem verbleibenden Bereich der zuvor hergestellten Halbleiterlegierung ergibt.
  • Mit Bezug zu den begleitenden Zeichnungen werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 in einer frühen Fertigungsphase. Wie gezeigt, umfasst das Bauelement 100 ein Substrat 101, das ein beliebiges geeignetes Trägermaterial repräsentiert, um darüber eine siliziumenthaltende Halbleiterschicht 102 zu bilden. Ferner repräsentiert in ein einigen anschaulichen Ausführungsformen das Substrat 101 in Verbindung mit der Halbleiterschicht 102 eine SOI-(Silizium-auf-Isolator-)Konfiguration, wenn eine vergrabene isolierende Schicht (nicht gezeigt) zwischen der Halbleiterschicht 102 und dem Substrat 101 vorgesehen ist. In anderen Fällen repräsentiert, wie dies gezeigt ist, das Bauelement 100 eine „Vollsubstratkonfiguration”, in der die Halbleiterschicht 102 einen oberen Bereich eines kristallinen Halbleitermaterials des Substrats 101 darstellt. Es sollte beachtet werden, dass eine SOI-Konfiguration eine Vollsubstratkonfiguration gleichzeitig in dem Bauelement 100 in unterschiedlichen Bauteilbereichen vorgesehen sein können, wenn dies für das gesamte Verhalten und die Leistung des Halbleiterbauelements 100 als geeignet erachtet wird. In der gezeigten Fertigungsphase ist eine geeignete Isolationsstruktur 103, beispielsweise in Form einer flachen Grabenisolation, in der Halbleiterschicht 102 vorgesehen, um aktive Gebiete 102a, 102b zu definieren, die als siliziumenthaltende Halbleitergebiete zu verstehen sind, in und über denen zumindest ein Transistorelement herzustellen ist. Beispielsweise repräsentiert in der gezeigten Ausführungsform das aktive Gebiet 102a ein geeignet dotiertes siliziumbasiertes Halbleitermaterial, um darin und darüber einen p-Kanaltransistor herzustellen, während das aktiver Gebiet 102b das aktive Gebiet eines n-Kanaltransistors bildet. Wie gezeigt ist eine Maskenschicht 104 über dem aktiven Gebiet 102b ausgebildet und besteht aus einem beliebigen geeigneten Material, etwa Siliziumnitrid, Siliziumdioxid und dergleichen, so dass eine Oxidation des Halbleitergebiets 102b im Wesentlichen unterdrückt oder einem zumindest deutlich geringere Oxidationsrate während eines Oxidationsprozesses besitzt, der in einer späteren Fertigungsphase auszuführen ist. Beispielsweise besitzt die Maskenschicht 104 ein Siliziumnitridmaterial, das als ein nicht-oxidierbares Material für eine Vielzahl von Oxidationsatmosphären betrachten kann. Bei Bedarf weist die Maskenschicht 104 eine oder mehrere zusätzlichen Schichten auf, etwa eine Schicht 104a, die als eine effiziente Ätzstoppschicht beim Entfernen der Maskenschicht 104 dienen kann. Z. B. ist die Ätzstoppschicht 104a aus einem Siliziumdioxidmaterial aufgebaut, wenn die Schicht 104 in Form eines Siliziumnitridmaterials vorgesehen ist. Ferner ist eine Schicht aus einer Halbleiterlegierung 105, etwa eine Silizium/Germanium-Legierung, auf dem aktiven Gebiet 102a gebildet und repräsentiert somit einen Teil des aktiven Gebiets 102a beim Bilden eines Transistorelements in einer späteren Fertigungsphase. Wie zuvor erläutert ist, ist es ggf. in komplexen Prozesstechniken zur Herstellung moderner Gateelektrodenstrukturen mit einem dielektrischen Material mit großem ε in Verbindung mit einem metallenthaltenden Elektrodenmaterial erforderlich, den Bandlückenabstand eines Kanalmaterials des Transistors geeignet einzustellen, das auf der Grundlage einer siliziumenthaltenden Halbleiterlegierung erreicht werden kann, wobei eine gleichmäßige Dicke und eine gewünschte Konzentration einer nicht-Siliziumsorte, etwa einer Germaniumsorte, erforderlich sind. Da die entsprechenden Parameter nicht mit Abscheideeigenschaften verfügbarer und gut steuerbarer selektiver epitaktischer Aufwachstechniken kompatibel sind, wird die Halbleiterlegierung mit einer speziellen Dicke und mit einer Germaniumkonzentration vorgesehen, die mit der verfügbaren Parametereinstellung einer entsprechenden Abscheidetechnik vertraglich sind. Folglich kann die Halbleiterlegierung 105 mit einem geringeren Grad an Gitterdefekten hergestellt werden, während eine entsprechende Anpassung der Konzentration der nicht-Siliziumsorte, die darin enthalten ist, etwa die Germaniumsorte, durch nachfolgend gut steuerbarer Fertigungsprozesse bewerkstelligt wird, um damit die gesamte Gleichmäßigkeit der schließlich erhaltenen schwellwerteinstellenden Halbleiterlegierung zu verbessern. Beispielsweise besitzt die Halbleiterlegierung 105 eine Dicke von ungefähr 5 Angstrom bis 20 Angstrom und weist eine Germaniumkonzentration von ungefähr 15 bis 25 Atomprozent Germanium auf, wenn eine Silizium/Germaniumlegierung betrachtet wird. Beispielsweise beträgt die Germaniumkonzentration ungefähr 23 Atomprozent oder weniger.
  • Das in 1a gezeigte Halbleiterbauelement kann auf der Grundlage der folgenden Prozesse hergestellt werden. Nach der Herstellung der Isolationsstruktur 103, was durch aufwendige Lithographie-, Ätz-, Abscheide-, Oxidation- und Einebnungsprozesse gelingt, wird die grundlegende Dotierung in den aktiven Gebieten 102a, 102b erzeugt, beispielsweise durch Ionenimplantation unter Anwendung geeigneter Maskierungsschemata auf der Grundlage gut etablierter Strategien. Bei Bedarf wird ein Ausheizprozess ausgeführt, um die Dotierstoffe zu aktivieren und implantationsabhängige Schäden zu rekristallisieren. Daraufhin wird die Maskenschicht 104 möglicherweise in Verbindung mit der Schicht 104a hergestellt, beispielsweise durch Oxidation und/oder Abscheidung der Schicht 104a und durch Abscheidung der Schicht 104. Nach der Herstellung der Schicht 104a, etwa in Form eines Siliziumdioxidmaterials, kann etwa ein geeignetes Siliziumnitridmaterial abgeschieden werden und nachfolgend auf der Grundlage gut etablierter Lithographietechniken strukturiert werden, wobei die Schicht 104a als eine Ätzstoppmaterial dienen kann, um etwa die Integrität des aktiven Gebiets 102a zu bewahren. Daraufhin wird der freigelegte Bereich der Schicht 104a auf der Grundlage gut steuerbarer Ätzrezepte abgetragen, etwa durch Flusssäure und dergleichen, wenn ein Siliziumoxidmaterial betrachtet wird. Als nächstes wird die Oberfläche des aktiven Gebiets 102a für das Abscheiden der Schicht 105 vorbereitet, was bewerkstelligt werden kann, indem ein oder mehrere Reinigungsprozesse ausgeführt werden, um Siliziumoxid zusammen mit anderen Kontaminationsstoffen und dergleichen abzutragen, die auf den freiliegenden Oberflächenbereich und der vorhergehenden Fertigungsprozesse erzeugt wurden. Als nächstes wird das Halbleiterbauelement 100 der Einwirkung einer Umgebung eines selektiven epitaktischen Aufwachsprozesses 106 ausgesetzt, um das Material 105 mit einem hohen Grad an Gleichmäßigkeit auf der Grundlage gut etablierter Parametereinstellungen vorzusehen. Durch Auswahl einer geeigneten Substrattemperatur im Bereich von ungefähr 500 Grad C bis 800 Grad C und durch Zuführen geeigneter Vorstufenmaterialien, die aus CVD-basierten Abscheidtechniken gut bekannt sind, kann die Schicht 105 mit einer speziellen Konzentration der nicht-Siliziumsorte, etwa mit dem Germanium abgeschieden werden, während eine merkliche Abscheidung an Material auf der Maskenschicht 104 unterdrückt wird. Wie zuvor erläutert ist, wird typischerweise eine verbesserte Prozessgleichmäßigkeit erreicht, indem die Konzentration der nicht-Siliziumsorte bei ungefähr 25% oder weniger gehalten wird, wenn eine Silizium/Germanium-Legierung betrachtet wird. Für andere siliziumenthaltende Halbleiterlegierungen, etwa Silizium/Zinn, Silizium/Germanium/Zinn, Silizium/Kohlenstoff, werden andere geeignete und gut erprobte Halbleitereinstellungen ausgewählt, um ein sehr gleichmäßiges Prozessergebnis zu erreichen.
  • 1b zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, wenn es der Einwirkung einer oxidierenden Umgebung 107 ausgesetzt ist. In einigen anschaulichen Ausführungsformen wird die oxidierende Umgebung 107 in einer geeigneten Prozessanlage, etwa einem Ofen, bei Temperaturen von ungefähr 900 Grad C und mehr eingerichtet, um damit einen Trockenoxidationsprozess auszuführen, während welchem Sauerstoff in die Schicht 105 diffundiert und vorzugsweise mit der Siliziumsorte reagiert, wodurch zunehmend die Siliziumsorte verbraucht und ein oxidierter Bereich 105 gebildet wird, während die nicht-Siliziumsorte, etwa die Germaniumsorte, aus den oxidierten Bereich 105a herausgetrieben wird und somit in eine verbleibende Schicht 105d diffundiert, wodurch darin die Konzentration der nicht-Siliziumsorte erhöht wird. Es sollte beachtet werden, dass die Oxidationsrate während des Prozesses 107 gut steuerbar ist und auch ein hohes Maß an Gleichmäßigkeit über das gesamte Substrat 101 hinweg besitzt, die typischerweise besser ist als die Gleichmäßigkeit, die durch den epitaktischen Aufwachsprozess 106 aus 1a erreicht wird. Folglich trägt der Oxidationsprozess 107 nicht zu einer ausgeprägteren Prozessungleichmäßigkeit während der Herstellung einer geeigneten Halbleiterlegierung bei. Auf der Grundlage der anfänglichen Dicke und der Parameter des Oxidationsprozesses 107 kann somit der oxidierte Bereich 105a und damit auch die verbleibende Halbleiterlegierung 105b mit gut steuerbaren Dickenwerten bereitgestellt werden, wobei auch die Anreicherung mit der nicht-Siliziumsorte in einer gut steuerbaren Weise erreicht wird. Auf Grund der Anwesenheit der Maske 104 wird eine merkliche Oxidation des aktiven Gebiets 102b zuverlässig vermieden.
  • 1c zeigt schematisch das Halbleiterbauelement 100, wenn es der Einwirkung einer Ätzumgebung 108 ausgesetzt ist, die auf der Grundlage gut etablierter selektiver Ätzrezepte, etwa auf Basis von Flusssäure, eingerichtet wird, um den Bereich 105a mit einem hohen Grade an Selektivität in Bezug auf die verbleibende Schicht der Halbleiterlegierung 105b zu entfernen, die die erhöhte Konzentration der nicht-Siliziumsorte aufweist. Nach dem Entfernen des oxidierten Bereichs 105a besitzt somit die Schicht 105d eine erhöhte Germaniumkonzentration, wie sie zum Einstellen der Schwellwertspannung eines Transistors erforderlich sein kann, der noch in und über dem aktiven Gebiet 102a zu bilden ist. Wenn die Dicke der Schicht 105b und/oder die Konzentration der Germaniumsorte eine weitere Anpassung im Hinblick auf die gewünschten Transistoreigenschaften erforderlich macht, können weitere Oxidations- und Abtragungsprozesse ausgeführt werden, möglicherweise in Verbindung mit einem vorhergehenden zusätzlichen epitaktischen Aufwachsprozess, wie dies nachfolgend detaillierter beschrieben ist. Wenn die Eigenschaften der Schicht 105b für die schwellwerteinstellende Wirkung geeignet sind, kann in anderen Fällen die weitere Bearbeitung fortgesetzt werden, indem eine Gateelektrodenstruktur mit dielektrischen Materialien mit großem ε und mit metallenthaltenden Elektrodenmaterialien gebildet wird, wie dies nachfolgend detaillierter erläutert ist.
  • Wenn in anderen Fällen zusätzliche Prozessschritte erforderlich sind, etwa ein weiterer epitaktischer Aufwachsprozess, kann die Ätzsequenz 108 auch Reinigungsprozesse zum Vorbereiten der Oberfläche der Schicht 105b für einen weiteren epitaktischen Aufwachsprozess enthalten. Es sollte beachtet werden, dass während des Ätzprozesses 108 auch Material der Isolationsstruktur 103 abgetragen werden kann, d. h. eines freiliegenden Bereichs davon, wie dies durch die gestrichelte Linie 103 angezeigt ist. Ein entsprechender Materialabtrag beeinflusst die weitere Bearbeitung des Bauelements 100 nicht wesentlich.
  • 1d zeigt schematisch das Halbleiterbauelement 100, wenn es einem weiteren selektiven selektiven epitaktischen Aufwachsprozess 109 unterzogen wird, der auf der Grundlage der gleichen Parametereinstellung der Prozess 106 der 1a ausgeführt. In anderen Fällen kann eine andere Parametereinstellung angewendet werden, wenn ein gewünschter Grad an Gleichmäßigkeit für den Abscheideprozess 109 erreicht wird. Folglich wird eine weiterer siliziumenthaltende Halbleiterlegierung 110 auf der vorhergehenden Schicht 105b. erzeugt, wobei die Eigenschaften der Schicht 110 ebenfalls durch eine Oxidation und eine Ätzsequenz bei Bedarf eingestellt werden können. In anderen Fällen wird, wenn eine graduelle Konzentration erforderlich ist, die weitere Bearbeitung auf der Grundlage der Schichten 105b, 110 durch Herstellen einer geeigneten Gateelektrodenstruktur ausgeführt.
  • 1e zeigt schematisch das Halbleiterbauelement 100, wen es der Einwirkung einer weiteren oxidierenden Umgebung 111 ausgesetzt, die auf der Grundlage ähnlicher Parametereinstellungen eingerichtet werden kann, wie dies zuvor mit Bezug zu 1b beschrieben ist, während in anderen Fällen andere geeignete Parameter ausgewählt werden, wobei dies von den Eigenschaften der Schicht 110 abhängt. Während des Prozesses 111 wird somit ein oxidierter Bereich 110a hergestellt, wodurch ebenfalls Materialzusammensetzungen eines verbleibenden Bereichs 110b modifiziert werden, wie dies auch in ähnlicher Weise zuvor erläutert ist.
  • Es sollte beachtet werden, dass in einigen anschaulichen Ausführungsformen einer oder beide Oxidationsprozesse 107 (siehe 1b) und 111 als „lokal selektive” Ausheizprozesse ausgeführt werden können, um damit eine individuelle Anpassung der Eigenschaften der Schichten 105a und/oder 110b zu erreichen. Beispielsweise können lasergestützte oder blitzlichtgestützte Ausheiztechniken eingesetzt werden, in denen geeignete Abtastmuster oder Maskierungsschemata verwendet werden, um in lokaler Weise den oxidierten Bereich 110a zu bilden oder in lokaler Weise die Oxidationsrate anzupassen, um damit unterschiedliche Arten von Schichten 105b und/oder 110b zu erhalten.
  • 1f zeigt schematisch das Halbleiterbauelement 100 während eines weiteren Ätzprozesses 112, um den oxidierten Bereich 110a (siehe 1b) abzutragen. Beispielsweise werden ähnliche Ätzrezepte eingesetzt, wie dies zuvor beschrieben ist. Die verbleibenden Halbleiterschichten 110b, 105b bilden somit eine Schicht eines Halbleitermaterials 113 mit einer spezifizierten Dicke 113b und einer speziellen Materialzusammensetzung, wie sie durch die vorhergehenden Sequenzen des Abscheidens, Oxidierens und Ätzens eingestellt wurde. Beispielsweise besitzt die Schicht 113 in Form einer Silizium/Germanium-Schicht eine Dicke von ungefähr 5 bis 15 Angstrom mit einer Germaniumkonzentration von mehr als 25 Atomprozent, wobei in anderen Fällen andere geeignete Werte für die Dicke 113t in die Germaniumkonzentration eingestellt werden. Es sollte ferner beachtet werden, dass auf Grund des zusätzlichen Ätzprozesses 112 eine weitere Absenkung der Isolationsstruktur 103 folgen kann, wie dies durch 103b angegeben ist.
  • 1g zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist ein erster Transistor 150a, etwa ein p-Kanaltransistor, in und über dem aktiven Gebiet 102a gebildet und ein zweiter Transistor 150b, etwa ein n-Kanaltransistor, ist in und über dem aktiven Gebiet 102b hergestellt. Die Transistoren 150a, 150b umfassen eine Gateelektrodenstruktur 151 mit einem komplexen Stapel aus Schichten, etwa Schichten 151a, 151b und 151c, die eine Gateisolationsschicht mit großem ε, beispielsweise in Form der Schichten 151a, 151b, repräsentieren, wobei mindestens eine dieser Schichten das Material mit einer dielektrischen Konstante von 10,0 oder höher aufweist. Z. B. wird die Schicht 151a in Form eines „konventionellen” dielektrischen Materials bereitgestellt, etwa ein siliziumenthaltendes Oxidmaterial und dergleichen, und bietet damit verbesserte Grenzflächeneigenschaften, wie dies zuvor beschrieben ist. Beispielsweise wird eine Dicke von 5 bis 10 Angstrom für die Schicht 151a verwendet. Die Schicht 151b repräsentiert ein beliebiges geeignetes Material mit großem ε, etwa Hafniumoxid und dergleichen, mit einer geeigneten Dicke, beispielsweise von ungefähr 10 bis 30 Angstrom, woran sich die Schicht 151s anschließt, die ein metallenthaltendes Elektrodenmaterial repräsen tiert, etwa Titannitrid, Aluminiumoxid und dergleichen. Ferner ist ein weiteres Elektrodenmaterial 151d, etwa in Form von Polysilizium, Germanium, einer Silizium/Germanium-Mischung und dergleichen, auf dem metallenthaltenden Elektrodenmaterial 151c hergestellt. Ein ähnlicher Aufbau ist in der Gateelektrodenstruktur des Transistors 150b vorgesehen. Ferner umfassen die Transistoren 150a, 150b ein Kanalgebiet 152, das lateral von entsprechenden Drain- und Sourcegebieten 153 umschlossen ist. Wie gezeigt, umfasst das Kanalgebiet 152 die siliziumenthaltende Halbleiterlegierung 113 mit Eigenschaften, wie sie während der vorhergehenden Prozesssequenz eingestellt wurden. Ferner ist eine Abstandshalterstruktur 154 an Seitenwänden der Gateelektrodenstruktur 151 gebildet.
  • Die Transistoren 150a, 150b können auf der Grundlage der folgenden Prozesstechniken hergestellt werden. Nach dem Bereitstellen der Schicht 113 in dem aktiven Gebiet 102a und nach dem Entfernen der Maskenschicht 104 (siehe 1f) werden die Materialschichten 151a, 151b, 151c und 151d gemäß gut etablierter Prozesstechniken hergestellt, woran sich die aufwendige Strukturierungssequenz anschließt, um die Gateelektrodenstrukturen 151 zu schaffen. Daraufhin werden geeignete Implantationsprozesse ausgeführt, möglicherweise in Verbindung mit der Abstandshalterstruktur 154, um damit ein gewünschtes Dotierstoffprofil für die Drain- und Sourcegebiete 153 zu erzeugen. Danach werden Ausheizzyklen ausgeführt, um die Dotierstoffsorte zu aktivieren und durch Implantation hervorgerufene Schäden zu rekristallisieren. Daraufhin wird die weitere Bearbeitung fortgesetzt, indem beispielsweise Metallsilizidgebiete in den Drain- und Sourcegebieten 153 hergestellt werden und indem ein geeignetes dielektrisches Zwischenschichtmaterial vorgesehen wird, um darin Kontaktelemente zu bilden, wobei bei Bedarf zusätzliche verformungsinduzierende Mechanismen, etwa in Form eines verspannten dielektrischen Materials, bereitgestellt werden.
  • Mit Bezug zu den 2a bis 2d werden nunmehr weitere anschauliche Ausführungsformen beschrieben, in denen zusätzlich oder alternativ zum Bereitstellen einer schwellwerteinstellenden Halbleiterlegierung eine verformungsinduzierende Halbleiterlegierung benachbart zu einer Gateelektrodenstruktur hergestellt wird.
  • 2a zeigt schematisch ein Halbleiterbauelement 200 mit einem Substrat 201 und einer Halbleiterschicht 202. Im Hinblick auf diese Komponenten gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu dem Bauelement 100 erläutert sind. Des weiteren ist in der gezeig ten Fertigungsphase eine Gateelektrodenstruktur 251 auf einem Teil der Halbleiterschicht 202 gebildet und ist von einem Maskenmaterial 204 eingehüllt, das beispielsweise in Form von Siliziumnitrid, Siliziumdioxid und dergleichen vorgesehen ist. Die Gateelektrodenstruktur 251 besitzt einen beliebigen geeigneten Aufbau, etwa eine Gateisolationsschicht 251a in Verbindung mit einem Elektrodenmaterial 251d. In einigen anschaulichen Ausführungsformen repräsentiert die Gateisolationsschicht 151a in Verbindung mit dem Elektrodenmaterial 251d eine „konventionelle” Gateelektrodenstruktur, d. h., es werden dielektrische Materialien, d. h. Siliziumdioxid, Siliziumnitrid, Siliziumoxinitrid und dergleichen, für die Schicht 251a in Verbindung mit Silizium, Silizium/Germanium, Germanium und dergleichen für das Elektrodenmaterial 251d verwendet. In anderen anschaulichen Ausführungsformen repräsentiert die Gateelektrodenstruktur 251 eine komplexe Gateelektrodenstruktur, in der die Gateisolationsschicht 251a ein dielektrisches Material mit großem ε aufweist, wie dies auch zuvor erläutert ist. Des weiteren kann das Elektrodenmaterial 251d ein metallenthaltendes Material enthalten, das auf der Gateisolationsschicht 251 gebildet ist, wie dies auch zuvor beschrieben ist. Ferner repräsentiert ein Kanalgebiert 252 ein Siliziummaterial, während in anderen Fällen zusätzlich eine schwellwerteinstellende Halbleiterlegierung 213 vorgesehen ist und geeignet eingestellte Eigenschaften im Hinblick auf einen gewünschten Bandlückenabstand besitzt, wie dies auch zuvor erläutert ist. Des weiteren sind Aussparungen 202c in der Halbleiterschicht 202 lateral beabstandet zu der Gateelektrodenstruktur 251 gebildet. Eine erste Schicht einer verformungsinduzierende Halbleiterlegierung 220, etwa einer Silizium/Germanium-Legierung, ist in den Aussparungen 202c gebildet. Die Halbleiterlegierung 220 besitzt eine Konzentration einer nicht-Siliziumsorte, die auf der Grundlage geeigneter Prozessschritte eingestellt ist, ohne dass in diesen zu erhöhten Kristalldefekten und dergleichen beigetragen wird.
  • Das in 2a gezeigte Halbleiterbauelement 200 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Nach dem Definieren geeigneter aktiver Gebiete auf der Grundlage einer Isolationsstruktur, wie dies auch zuvor mit Bezug zu dem Bauelement 100 erläutert ist, wird bei Bedarf die schwellwerteinstellende Halbleiterlegierung 213 darin hergestellt, wobei in einigen anschaulichen Ausführungsformen zumindest ein Zyklus mit Abscheidung, Oxidation und Ätzung angewendet wird, um die Gesamteigenschaften des Materials 213 einzustellen, wie dies auch zuvor mit Bezug zu dem Halbleiterbauelement 100 beschrieben ist. In anderen Fällen wird das Material 213 nicht vorgesehen und die weitere Bearbeitung wird vorgesehen, indem die Gateelektrodenstruktur 251 hergestellt wird, die geeignet eingekapselt wird, indem eine Deckschicht 251e in Verbindung mit den Abstandshaltern 204 vorgesehen wird. Daraufhin wird ein Ätzprozess ausgeführt, um die Aussparungen 202c zu erhalten und nach dem Vorbereiten des freiliegenden Oberflächenbereichs wird ein selektiver epitaktischer Aufwachsprozess 206 ausgeführt, um die Schicht 220 mit speziellen Eigenschaften im Hinblick auf die Dicke, die Materialzusammensetzung und dergleichen bereitzustellen, wie dies auch zuvor erläutert ist. Somit wird eine geeignete Parametereinstellung für den Prozess 206 so ausgewählt, dass die Schicht 220 mit einem hohen Grad an Gleichmäßigkeit und mit einer geringen Defektrate erhalten wird, während andere Parameter, etwa die Gesamtgermaniumkonzentration, wenn eine Silizium/Germanium-Legierung betrachtet wird, in einer späteren Fertigungsphase eingestellt werden.
  • 2b zeigt schematisch das Halbleiterbauelement, wenn es der Einwirkung einer oxidierenden Umgebung 207 unterliegt, in welcher ein Teil 220a der Schicht 220 oxidiert wird, während ein Teil 220b beibehalten wird, der somit modifizierte Eigenschaften, etwa im Hinblick auf die Konzentration einer nicht-Siliziumsorte besitzt.
  • 2c zeigt schematisch das Halbleiterbauelement 200 während eines Ätzprozesses 208 zum selektiven Entfernen des Bereichs 220a, während die Halbleiterlegierung 220b im Wesentlichen beibehalten wird. Beispielsweise werden ähnliche Ätzrezepte eingesetzt, wie sie zuvor mit Bezug zu dem Bauelement 100 beschrieben sind.
  • 2d zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, sind eine oder mehrere zusätzliche Schichten der verformungsinduzierenden Halbleiterlegierung, die als 221b, 222b, 223 bezeichnet sind, in den Aussparungen 202c gebildet. Es sollte beachtet werden, dass die Schichten 221b, 222b, 223b ähnliche Eigenschaften im Hinblick auf die Materialzusammensetzung wie die Schicht 220b besitzen können, während in anderen Fällen unterschiedliche Eigenschaften eingestellt werden, beispielsweise indem eine Prozesssequenz, wie sie zuvor beschrieben ist, lediglich auf einige der Schichten 221b, 222b, 223b angewendet wird, oder indem unterschiedliche Prozessparameter beispielsweise während des Oxidationsprozesses und dergleichen eingestellt werden. Somit wird ein hohes Maß an Flexibilität bei der Einstellung der gesamten Materialeigenschaften innerhalb der Aussparungen 202c auf der Grundlage einer verformungsinduzierenden Halbleiterlegierung erreicht, wobei dennoch ein hoher Grad an Prozessgleichmäßigkeit sichergestellt ist, indem ein grundlegender Abscheideprozess mit einem guten Prozessverhalten angewendet wird. D. h., jede der einzelnen Halbleiteschichten kann auf der Grundlage einer gewünschten Parametereinstellung des selektiven epitaktischen Aufwachsprozesses hergestellt werden, und bei Bedarf kann die Materialzusammensetzung einer oder mehrerer Schichten in geeigneter Weise eingestellt werden, indem ein Oxidations- und Ätzzyklus angewendet wird, wie dies zuvor beschrieben ist. In diesem Falle kann ein höherer Grad an Germaniumkonzentration zumindest in einigen Bereichen innerhalb der Aussparungen 202c erreicht werden, ohne dass ausgeprägte Gitterdefekte hervorgerufen werden oder dass Abweichungen von einer gewünschten Prozessgleichmäßigkeit erhalten werden, wie dies typischerweise in konventionellen Prozessstrategien der Fall ist, in denen die Germaniumkonzentration in einem selektiven epitaktischen Wachstumsprozess erhöht wird.
  • Daraufhin wird die Bearbeitung des Bauelements 200 fortgesetzt, indem der Abstandshalter 204 und die Deckschicht 251d entfernt werden und indem Drain- und Sourcegebiete hergerstellt werden, wie dies auch zuvor mit Bezug zu dem Halbleiterbauelement 100 beschrieben ist.
  • Es gilt also: Die vorliegende Offenbarung stellt Techniken bereit, um die Eigenschaften einer siliziumenthaltenden Halbleiterlegierung in gut steuerbarer Weise zu variieren, indem ein stabiles und gleichmäßiges Abscheiderezept für einen selektiven epitaktischen Aufwachsprozess angewendet wird und indem zumindest ein Oxidations- und Ätzzyklus zum Modifizieren der Eigenschaften ausgeführt wird, um beispielsweise die Germaniumkonzentration in einer Silizium/Germanium-Legierung zu erhöhen. Auf dies Weise wird eine erhöhte Flexibilität erreicht, indem beispielsweise eine schwellwerteinstellende Silizium/Germanium-Legierung und/oder eine verformungsinduzierende Halbleiterlegierung in Drain- und Sourcebereichen modernster Transistoren bereitgestellt wird.
  • Weitere Modifizierungen und Variationen der vorliegenden Offenbarung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der hierin offenbarten Lehre zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (25)

  1. Verfahren mit: Bilden einer ersten Schicht einer siliziumenthaltenden Halbleiterlegierung auf einem siliziumenthaltenden kristallinen Halbleitergebiet, wobei die siliziumenthaltende Halbleiterlegierung eine nicht-Siliziumsorte mit einer ersten Konzentration aufweist; Bilden eines Oxids durch Verbrauchen von Material der ersten Schicht der siliziumenthaltenden Halbleiterlegierung, während eine zweite Schicht der siliziumenthaltenden Halbleiterlegierung auf dem siliziumenthaltenden Halbleitergebiet beibehalten wird, wobei die zweite Schicht der siliziumenthaltenden Halbleiterlegierung die nicht-Siliziumsorte mit einer zweiten Konzentration aufweist; Entfernen des Oxids von der zweiten Schicht der siliziumenthaltenden Halbleiterlegierung; Bilden einer Gateelektrodenstruktur eines Transistors über der zweiten Schicht der siliziumenthaltenden Halbleiterlegierung, wobei die Gateelektrodenstruktur eine Gateisolationsschicht mit einem Dielektrikum mit großem ε und ein metallenthaltendes Gateelektrodenmaterial, das über der Gateisolationsschicht mit einem Dielektrikum mit großem ε gebildet ist, aufweist.
  2. Verfahren nach Anspruch 1, wobei die nicht-Siliziumsorte Germanium umfasst.
  3. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer dritten Schicht der siliziumenthaltenden Halbleiterlegierung auf der zweiten Schicht der siliziumenthaltenden Halbleiterlegierung, Bilden eines Oxids durch Verbrauchen von Material der dritten Schicht der siliziumenthaltenden Halbleiterlegierung, während eine vierte Schicht der siliziumenthaltenden Halbleiterlegierung auf der zweiten Schicht der siliziumenthaltenden Halbleiterlegierung beibehalten wird.
  4. Verfahren nach Anspruch 1, wobei Bilden eines Oxids umfasst: Ausführen eines Oxidationsprozesses bei einer Prozesstemperatur von ungefähr 900 Grad C und höher.
  5. Verfahren nach Anspruch 1, wobei eine Dicke der zweiten Schicht der siliziumenthaltenden Halbleiterlegierung von ungefähr 10 Angstrom oder weniger beträgt.
  6. Verfahren nach Anspruch 1, wobei die nicht-Siliziumsorte Germanium ist und die erste Konzentration ungefähr 25 Atomprozent oder weniger beträgt.
  7. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer Maskenschicht über einem zweiten siliziumenthaltenden Halbleitergebiet, wobei die erste und die zweite Schicht der Halbleiterlegierung in Anwesenheit der Maskenschicht gebildet werden.
  8. Verfahren nach Anspruch 7, wobei die Maskenschicht ein nicht-oxidierbares Material aufweist.
  9. Verfahren nach Anspruch 7, das ferner umfasst: Bilden einer zweiten Gateelektrodenstruktur eines zweiten Transistors über dem zweiten siliziumenthaltenden Halbleitergebiet, wobei die zweite Gateelektrodenstruktur eine Gateisolationsschicht mit einem Dielektrikum mit großem ε und ein metallenthaltendes Gateelektrodenmaterial, das über der Gateisolationsschicht mit dem Dielektrikum mit großem ε gebildet ist, aufweist.
  10. Verfahren nach Anspruch 9, wobei der Transistor ein p-Kanaltransistor und der zweite Transistor ein n-Kanaltransistor ist.
  11. Verfahren nach Anspruch 1, das ferner umfasst: Bilden von Aussparungen in dem siliziumenthaltenden Halbleitergebiet lateral beabstandet zu der Gateelektrodenstruktur und Bilden einer verformungsinduzierenden Halbleiterlegierung in den Aussparungen.
  12. Verfahren nach Anspruch 11, wobei Bilden der verformungsinduzierenden Halbleiterlegierung umfasst: Bilden einer ersten Schicht der verformungsinduzierenden Halbleiterlegierung, Oxidieren eines Bereichs der ersten Schicht der verformungsinduzierenden Halbleiterlegierung und Entfernen des Bereichs, um eine zweite Schicht der verformungsinduzierenden Halbleiterlegierung zu bilden.
  13. Verfahren mit: Bilden einer ersten Schicht einer Silizium/Germanium-Legierung auf einem siliziumenthaltenden Halbleitergebiet, wobei die erste Schicht eine Germaniumsorte mit einer ersten Konzentration aufweist; Oxidieren eines Teil der ersten Schicht der Silizium/Germanium-Legierung, um eine zweite Schicht der Silizium/Germanium-Legierung zu bilden, wobei die zweite Schicht die Germaniumsorte einer zweiten Konzentration aufweist, die höher ist als die erste Konzentration; Entfernen des Teils selektiv zu der zweiten Schicht der Silizium/Germanium-Legierung; und Bilden eines Transistorelements in und auf dem siliziumenthaltenden Halbleitergebiet auf der Grundlage der zweiten Schicht der Silizium/Germaniumlegierung.
  14. Verfahren nach Anspruch 13, wobei Bilden des Transistorelements umfasst: Bilden einer Gateelektrodenstruktur über der zweiten Schicht der Silizium/Germanium-Legierung und Verwenden der zweiten Schicht der Silizium/Germanium-Legierung, um einen Schwellwert des Transistorelements einzustellen.
  15. Verfahren nach Anspruch 13, das ferner umfasst: Bilden einer Aussparung in dem siliziumenthaltenden Halbleitergebiet lateral beabstandet zu einer Gateelektrodenstruktur und Bilden der ersten Schicht der Silizium/Germanium-Legierung in der Aussparung.
  16. Verfahren nach Anspruch 13, das ferner umfasst: Bilden einer dritten Schicht der Silizium/Germanium-Legierung auf der zweiten Schicht, Oxidieren eines Teils der dritten Schicht und Entfernen des Bereichs der dritten Schicht, um eine vierte Schicht der Silizium/Germanium-Legierung auf der zweiten Schicht der Silizium/Germanium-Legierung zu bilden.
  17. Verfahren nach Anspruch 13, wobei die erste Konzentration ungefähr 25 Atomprozent oder weniger beträgt.
  18. Verfahren nach Anspruch 14, wobei die zweite Schicht eine Dicke von ungefähr 10 Angstrom oder weniger besitzt.
  19. Verfahren nach Anspruch 18, wobei Bilden der Gateeelektrodenstruktur umfasst: Bilden eines dielektrischen Materials mit großem ε über der zweiten Schicht der Silizium/Germanium-Legierung.
  20. Verfahren mit: Bilden einer Aussparung in einem siliziumenthaltenden Halbleitergebiet lateral benachbart zu einer Gateelektrodenstruktur eines Transistors; Bilden einer ersten Schicht einer siliziumenthaltenden Halbleiterlegierung in der Aussparung, wobei die erste Schicht eine nicht-Siliziumsorte mit einer ersten Konzentration aufweist; Oxidieren eines Bereichs der ersten Schicht der siliziumenthaltenden Halbleiterlegierung, um eine zweite Schicht der siliziumenthaltenden Halbleiterlegierung zu bilden, wobei die zweite Schicht die nicht-Siliziumsorte mit einer zweiten Konzentration aufweist, die höher ist als die erste Konzentration; und Bilden von Drain- und Sourcegebieten in dem siliziumenthaltenden Halbleitergebiet.
  21. Verfahren nach Anspruch 20, das ferner umfasst: Bilden einer ersten Schicht einer schwellwerteinstellenden Halbleiterlegierung auf dem siliziumenthaltenden Gebiet und dem Bilden der Gateelektrodenstruktur.
  22. Verfahren nach Anspruch 21, das ferner umfasst: Oxidieren eines Teils der schwellwerteinstellenden Halbleiterlegierung und Entfernen des oxidierten Teils, um eine Konzentration einer nicht-Siliziumsorte in der schwellwerteinstellenden Halbleiterlegierung einzustellen.
  23. Verfahren nach Anspruch 21, wobei die nicht-Siliziumsorte in der schwellwerteinstellenden Halbleiterlegierung Germanium umfasst.
  24. Verfahren nach Anspruch 23, wobei eine Konzentration der nicht-Siliziumsorte in der schwellwerteinstellenden Sorte ungefähr 25 Atomprozent oder weniger beträgt.
  25. Verfahren nach Anspruch 21, wobei Bilden der Gateelektrodenstruktur umfasst: Vorsehen eines Gateisolationsmaterials mit großem ε.
DE102009010883A 2009-02-27 2009-02-27 Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der FET-Transistorherstellung mittels eines Zwischenoxidationsprozesses Expired - Fee Related DE102009010883B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102009010883A DE102009010883B4 (de) 2009-02-27 2009-02-27 Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der FET-Transistorherstellung mittels eines Zwischenoxidationsprozesses
US12/707,918 US8735253B2 (en) 2009-02-27 2010-02-18 Adjusting of a non-silicon fraction in a semiconductor alloy during transistor fabrication by an intermediate oxidation process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102009010883A DE102009010883B4 (de) 2009-02-27 2009-02-27 Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der FET-Transistorherstellung mittels eines Zwischenoxidationsprozesses

Publications (2)

Publication Number Publication Date
DE102009010883A1 true DE102009010883A1 (de) 2010-09-02
DE102009010883B4 DE102009010883B4 (de) 2011-05-26

Family

ID=42371775

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102009010883A Expired - Fee Related DE102009010883B4 (de) 2009-02-27 2009-02-27 Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der FET-Transistorherstellung mittels eines Zwischenoxidationsprozesses

Country Status (2)

Country Link
US (1) US8735253B2 (de)
DE (1) DE102009010883B4 (de)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010064291A1 (de) * 2010-12-28 2012-06-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Transistoren mit Metallgateelektrodenstrukturen mit großem ε mit einem polykristallinen Halbleitermaterial und eingebetteten verformungsinduzierenden Halbleiterlegierungen
DE102009047311B4 (de) * 2009-11-30 2016-06-02 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Gatestrukturen mit verbesserten Grenzflächeneigenschaften zwischen einer Kanalhalbleiterlegierung und einem Gatedielektrikum mittels eines Oxidationsprozesses
DE102010063782B4 (de) * 2010-12-21 2016-12-15 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgatestapeln mit großem ε und einem eingebetteten Verspannungsmaterial

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009010883B4 (de) * 2009-02-27 2011-05-26 Amd Fab 36 Limited Liability Company & Co. Kg Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der FET-Transistorherstellung mittels eines Zwischenoxidationsprozesses
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
US8329551B2 (en) * 2010-11-16 2012-12-11 GlobalFoundries, Inc. Semiconductor device substrate with embedded stress region, and related fabrication methods
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
DE102010063296B4 (de) * 2010-12-16 2012-08-16 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellungsverfahren mit reduzierter STI-Topograpie für Halbleiterbauelemente mit einer Kanalhalbleiterlegierung
DE102010064290B3 (de) * 2010-12-28 2012-04-19 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verformungserhöhung in Transistoren mit einem eingebetteten verformungsinduzierenden Halbleitermaterial durch Kondensation der legierungsbildenden Substanz
DE102011076696B4 (de) 2011-05-30 2013-02-07 Globalfoundries Inc. Verfahren zur Leistungssteigerung in Transistoren durch Vorsehen eines eingebetteten verformungsinduzierenden Halbleitermaterials auf der Grundlage einer Saatschicht und entsprechendes Halbleiterbauelement
US8883598B2 (en) * 2012-03-05 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Thin capped channel layers of semiconductor devices and methods of forming the same
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9012956B2 (en) * 2013-03-04 2015-04-21 Globalfoundries Inc. Channel SiGe removal from PFET source/drain region for improved silicide formation in HKMG technologies without embedded SiGe
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9490345B2 (en) * 2014-01-17 2016-11-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
CN106257631A (zh) * 2015-06-18 2016-12-28 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070077701A1 (en) * 2005-09-30 2007-04-05 Tokyo Electron Limited Method of forming a gate stack containing a gate dielectric layer having reduced metal content

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US77701A (en) * 1868-05-05 William youngblood
US4975387A (en) * 1989-12-15 1990-12-04 The United States Of America As Represented By The Secretary Of The Navy Formation of epitaxial si-ge heterostructures by solid phase epitaxy
US5212110A (en) * 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5268324A (en) * 1992-05-27 1993-12-07 International Business Machines Corporation Modified silicon CMOS process having selectively deposited Si/SiGe FETS
US5521108A (en) * 1993-09-15 1996-05-28 Lsi Logic Corporation Process for making a conductive germanium/silicon member with a roughened surface thereon suitable for use in an integrated circuit structure
US6054719A (en) * 1995-04-20 2000-04-25 Damilerchrysler Ag Composite structure of an electronic component
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
JPH1168103A (ja) * 1997-08-22 1999-03-09 Mitsubishi Electric Corp 半導体装置の製造方法
US6607948B1 (en) * 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6369438B1 (en) * 1998-12-24 2002-04-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
DE60036594T2 (de) * 1999-11-15 2008-01-31 Matsushita Electric Industrial Co., Ltd., Kadoma Feldeffekt-Halbleiterbauelement
US6743680B1 (en) * 2000-06-22 2004-06-01 Advanced Micro Devices, Inc. Process for manufacturing transistors having silicon/germanium channel regions
JP4207548B2 (ja) * 2002-11-28 2009-01-14 株式会社Sumco 半導体基板の製造方法及び電界効果型トランジスタの製造方法並びに半導体基板及び電界効果型トランジスタ
JP3547419B2 (ja) * 2001-03-13 2004-07-28 株式会社東芝 半導体装置及びその製造方法
US6940089B2 (en) * 2001-04-04 2005-09-06 Massachusetts Institute Of Technology Semiconductor device structure
US6855436B2 (en) * 2003-05-30 2005-02-15 International Business Machines Corporation Formation of silicon-germanium-on-insulator (SGOI) by an integral high temperature SIMOX-Ge interdiffusion anneal
JP2003031495A (ja) * 2001-07-12 2003-01-31 Hitachi Ltd 半導体装置用基板の製造方法および半導体装置の製造方法
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
WO2003079415A2 (en) * 2002-03-14 2003-09-25 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US6621114B1 (en) * 2002-05-20 2003-09-16 Advanced Micro Devices, Inc. MOS transistors with high-k dielectric gate insulator for reducing remote scattering
JP3874716B2 (ja) * 2002-11-14 2007-01-31 株式会社東芝 半導体装置の製造方法
US6924181B2 (en) * 2003-02-13 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon layer semiconductor product employing strained insulator layer
WO2004081987A2 (en) * 2003-03-12 2004-09-23 Asm America, Inc. Sige rectification process
US6900502B2 (en) * 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US7169226B2 (en) * 2003-07-01 2007-01-30 International Business Machines Corporation Defect reduction by oxidation of silicon
US7109099B2 (en) * 2003-10-17 2006-09-19 Chartered Semiconductor Manufacturing Ltd. End of range (EOR) secondary defect engineering using substitutional carbon doping
JP4413580B2 (ja) * 2003-11-04 2010-02-10 株式会社東芝 素子形成用基板の製造方法
JP4700324B2 (ja) * 2003-12-25 2011-06-15 シルトロニック・ジャパン株式会社 半導体基板の製造方法
KR100925310B1 (ko) * 2004-01-16 2009-11-04 인터내셔널 비지네스 머신즈 코포레이션 고 완화율 및 저 적층 결함 밀도를 갖는 박막 sgoi웨이퍼를 형성하는 방법
US7205210B2 (en) * 2004-02-17 2007-04-17 Freescale Semiconductor, Inc. Semiconductor structure having strained semiconductor and method therefor
FR2868202B1 (fr) * 2004-03-25 2006-05-26 Commissariat Energie Atomique Procede de preparation d'une couche de dioxyde de silicium par oxydation a haute temperature sur un substrat presentant au moins en surface du germanium ou un alliage sicicium- germanium.
US20050221591A1 (en) * 2004-04-06 2005-10-06 International Business Machines Corporation Method of forming high-quality relaxed SiGe alloy layers on bulk Si substrates
US7241647B2 (en) * 2004-08-17 2007-07-10 Freescale Semiconductor, Inc. Graded semiconductor layer
JP4945072B2 (ja) * 2004-11-09 2012-06-06 株式会社東芝 半導体装置及びその製造方法
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP2006270000A (ja) * 2005-03-25 2006-10-05 Sumco Corp 歪Si−SOI基板の製造方法および該方法により製造された歪Si−SOI基板
US7282402B2 (en) * 2005-03-30 2007-10-16 Freescale Semiconductor, Inc. Method of making a dual strained channel semiconductor device
FR2886761B1 (fr) * 2005-06-06 2008-05-02 Commissariat Energie Atomique Transistor a canal a base de germanium enrobe par une electrode de grille et procede de fabrication d'un tel transistor
JP4427489B2 (ja) * 2005-06-13 2010-03-10 株式会社東芝 半導体装置の製造方法
JP4940682B2 (ja) * 2005-09-09 2012-05-30 富士通セミコンダクター株式会社 電界効果トランジスタおよびその製造方法
US7696574B2 (en) * 2005-10-26 2010-04-13 International Business Machines Corporation Semiconductor substrate with multiple crystallographic orientations
FR2893446B1 (fr) * 2005-11-16 2008-02-15 Soitec Silicon Insulator Techn TRAITEMENT DE COUCHE DE SiGe POUR GRAVURE SELECTIVE
JP2007194336A (ja) * 2006-01-18 2007-08-02 Sumco Corp 半導体ウェーハの製造方法
FR2898215B1 (fr) * 2006-03-01 2008-05-16 Commissariat Energie Atomique Procede de fabrication d'un substrat par condensation germanium
FR2899017A1 (fr) * 2006-03-21 2007-09-28 St Microelectronics Sa Procede de realisation d'un transistor a canal comprenant du germanium
FR2902234B1 (fr) * 2006-06-12 2008-10-10 Commissariat Energie Atomique PROCEDE DE REALISATION DE ZONES A BASE DE Si1-yGey DE DIFFERENTES TENEURS EN Ge SUR UN MEME SUBSTRAT PAR CONDENSATION DE GERMANIUM
US7687360B2 (en) * 2006-12-22 2010-03-30 Spansion Llc Method of forming spaced-apart charge trapping stacks
US7524740B1 (en) * 2008-04-24 2009-04-28 International Business Machines Corporation Localized strain relaxation for strained Si directly on insulator
US8232186B2 (en) * 2008-05-29 2012-07-31 International Business Machines Corporation Methods of integrating reverse eSiGe on NFET and SiGe channel on PFET, and related structure
US8048791B2 (en) * 2009-02-23 2011-11-01 Globalfoundries Inc. Method of forming a semiconductor device
DE102009010883B4 (de) * 2009-02-27 2011-05-26 Amd Fab 36 Limited Liability Company & Co. Kg Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der FET-Transistorherstellung mittels eines Zwischenoxidationsprozesses
DE102009021489B4 (de) * 2009-05-15 2012-01-12 Globalfoundries Dresden Module One Llc & Co. Kg Erhöhen der Abscheidegleichmäßigkeit für eine zur Schwellwerteinstellung in einem aktiven Gebiet vorgesehene Halbleiterlegierung
DE102009035418B4 (de) * 2009-07-31 2012-08-16 Globalfoundries Dresden Module One Llc & Co. Kg Herstellung einer Kanalhalbleiterlegierung durch Abscheiden einer Hartmaske für das selektive epitaktische Aufwachsen
DE102010063774B4 (de) * 2010-12-21 2012-07-12 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Herstellung einer Kanalhalbleiterlegierung mittels einer Nitridhartmaskenschicht und einer Oxidmaske
US8574981B2 (en) * 2011-05-05 2013-11-05 Globalfoundries Inc. Method of increasing the germanium concentration in a silicon-germanium layer and semiconductor device comprising same
US8703551B2 (en) * 2011-05-06 2014-04-22 Globalfoundries Inc. Process flow to reduce hole defects in P-active regions and to reduce across-wafer threshold voltage scatter

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070077701A1 (en) * 2005-09-30 2007-04-05 Tokyo Electron Limited Method of forming a gate stack containing a gate dielectric layer having reduced metal content

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009047311B4 (de) * 2009-11-30 2016-06-02 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Gatestrukturen mit verbesserten Grenzflächeneigenschaften zwischen einer Kanalhalbleiterlegierung und einem Gatedielektrikum mittels eines Oxidationsprozesses
DE102010063782B4 (de) * 2010-12-21 2016-12-15 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgatestapeln mit großem ε und einem eingebetteten Verspannungsmaterial
DE102010064291A1 (de) * 2010-12-28 2012-06-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Transistoren mit Metallgateelektrodenstrukturen mit großem ε mit einem polykristallinen Halbleitermaterial und eingebetteten verformungsinduzierenden Halbleiterlegierungen
US8343826B2 (en) 2010-12-28 2013-01-01 Globalfoundries Inc. Method for forming a transistor comprising high-k metal gate electrode structures including a polycrystalline semiconductor material and embedded strain-inducing semiconductor alloys
DE102010064291B4 (de) * 2010-12-28 2013-06-06 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen mit großem ε mit einem polykristallinen Halbleitermaterial und eingebetteten verformungsinduzierenden Halbleiterlegierungen

Also Published As

Publication number Publication date
US20100221883A1 (en) 2010-09-02
DE102009010883B4 (de) 2011-05-26
US8735253B2 (en) 2014-05-27

Similar Documents

Publication Publication Date Title
DE102009010883B4 (de) Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der FET-Transistorherstellung mittels eines Zwischenoxidationsprozesses
DE102007046849B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
DE102007041207B4 (de) CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE102009006886B4 (de) Verringerung von Dickenschwankungen einer schwellwerteinstellenden Halbleiterlegierung durch Verringern der Strukturierungsungleichmäßigkeiten vor dem Abscheiden der Halbleiterlegierung
DE102011077661B4 (de) Metallgateelektrodenstrukturen und Verfahren zu deren Herstellung durch eine Reduzierung des Gatefüllaspektverhältnisses in einer Austauschgatetechnologie
DE102009046261B4 (de) Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen mit großem ε, die vor den Drain/Source-Gebieten auf der Grundlage eines Opferkohlenstoffabstandshalters hergestellt werden
DE102007063270B4 (de) Verfahren zur Verringerung zur Erzeugung von Ladungseinfangstellen in Gatedielektrika in MOS-Transistoren durch Ausführen einer Wasserstoffbehandlung
DE102009031155A1 (de) Gleichmäßige Metallgatestapel mit großem ε durch Einstellen einer Schwellwertspannung für komplexe Transistoren durch Diffundieren einer Metallsorte vor der Gatestrukturierung
DE102009055393B4 (de) Verfahren zur Herstellung und Halbleiterbauelement mit besserem Einschluss von empfindlichen Materialien einer Metallgateelektrodenstruktur mit großem ε
DE102010002450B4 (de) Transistoren mit Metallgateelektrodenstrukturen mit großem ε und angepassten Kanalhalbleitermaterialien
DE102008059648B4 (de) Gateelektrodenstruktur mit großem ε, die nach der Transistorherstellung unter Anwendung eines Abstandshalters gebildet wird
DE102009015715A1 (de) Bewahren der Integrität eines Gatestapels mit großem ε durch einen Versatzabstandshalter, der zum Bestimmen eines Abstandes einer verformungsinduzierenden Halbleiterlegierung verwendet wird
DE102010063907B4 (de) Verfahren mit Deckschichtentfernung von Gateelektrodenstrukturen nach selektivem Bilden eines verformungsinduzierenden Halbleitermaterials
DE102008063432B4 (de) Verfahren zum Einstellen der Verformung, die in einem Transistorkanal eines FET hervorgerufen wird, durch für die Schwellwerteinstellung vorgesehenes Halbleitermaterial
DE102008063402B4 (de) Verringerung der Schwellwertspannungsfluktuation in Transistoren mit einer Kanalhalbleiterlegierung durch Verringern der Abscheideungleichmäßigkeiten
DE102010064291B4 (de) Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen mit großem ε mit einem polykristallinen Halbleitermaterial und eingebetteten verformungsinduzierenden Halbleiterlegierungen
DE102011080440B4 (de) Verfahren zur Herstellung von Metallgateelektrodenstrukturen mit großem ε mittels einer frühen Deckschichtanpassung
DE102010028459B4 (de) Reduzierte STI-Topographie in Metallgatetransistoren mit großem ε durch Verwendung einer Maske nach Abscheidung einer Kanalhalbleiterlegierung
DE102010063774B4 (de) Herstellung einer Kanalhalbleiterlegierung mittels einer Nitridhartmaskenschicht und einer Oxidmaske
DE102009039419A1 (de) Bewahren der Integrität eines Gatestapels mit großem ε durch Passivierung mittels eines Sauerstoffplasmas
DE102011090169A1 (de) Strukturierung empfindlicher metallenthaltender Schichten mit erhöhter Maskenmaterialhaftung durch Bereitstellen einer modifizierten Oberflächenschicht
DE102011003385B4 (de) Verfahren zur Herstellung einer Halbleiterstruktur mit verformungsinduzierendem Halbleitermaterial
DE102008049717B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements als Transistor mit einem Metallgatestapel mit großem ε und einem kompressiv verspannten Kanal
DE102009010846B4 (de) Verfahren zum Herstellen einer Gateelektrodenstruktur mit großem ε zum Erhöhen deren Integrität durch Einschluss einer Metalldeckschicht nach der Abscheidung
DE102011090165A1 (de) Erhöhte Integrität von Metallgatestapeln mit großem ε durch Bewahren eines Lackmaterials über Endbereichen von Gateelektrodenstrukturen

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG,, DE

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: AMD FAB 36 LIMITED LIABILITY CO, GLOBALFOUNDRIES INC., , KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: AMD FAB 36 LIMITED LIABILITY CO, GLOBALFOUNDRIES INC., , KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110426

R020 Patent grant now final

Effective date: 20110827

R082 Change of representative

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20120125

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

Effective date: 20120125

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE

Effective date: 20120125

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee