CN1898785B - 外延沉积的源极/漏极 - Google Patents

外延沉积的源极/漏极 Download PDF

Info

Publication number
CN1898785B
CN1898785B CN2004800387396A CN200480038739A CN1898785B CN 1898785 B CN1898785 B CN 1898785B CN 2004800387396 A CN2004800387396 A CN 2004800387396A CN 200480038739 A CN200480038739 A CN 200480038739A CN 1898785 B CN1898785 B CN 1898785B
Authority
CN
China
Prior art keywords
source
gate electrode
epitaxial
drain
transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2004800387396A
Other languages
English (en)
Other versions
CN1898785A (zh
Inventor
尼克·林德特
阿南德·默西
贾斯廷·布拉斯克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN1898785A publication Critical patent/CN1898785A/zh
Application granted granted Critical
Publication of CN1898785B publication Critical patent/CN1898785B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/762Charge transfer devices
    • H01L29/765Charge-coupled devices
    • H01L29/768Charge-coupled devices with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors

Abstract

可以为金属氧化物半导体场效应晶体管形成外延沉积的源极/漏极扩展。可以形成牺牲层并且蚀刻掉所述的牺牲层来在栅电极下面底切。然后,可以沉积外延硅的源极/漏极扩展以在所述栅电极的边缘下面延伸。由此,通过控制牺牲材料的蚀刻,可以控制源极/漏极扩展在栅极下面延伸的程度。它的厚度和深度可以通过控制沉积过程来控制。此外,可以独立于随后形成的深的或者重掺杂的源极/漏极结的特性来控制所述源极/漏极、扩展的特性。

Description

外延沉积的源极/漏极
背景 
本发明一般涉及金属氧化物半导体场效应晶体管。
金属氧化物半导体场效应晶体管包括与源极/漏极自对准的栅极。源极/漏极可以包括较深或者重掺杂的区域以及较浅和轻微掺杂的区域,有时被称为末端(tip)或者源极/漏极扩展(extension)。
栅极下重叠(underlap)是在离子注入以及随后的热处理之后,源极/漏极材料在栅极下面扩散的量。注入之后,被注入的材料被暴露给热,所述热导致所述材料向下移动到衬底中,并且在较小程度上,横向地在所述栅极下面移动。因此,在使用离子注入的源极/漏极扩展的系统中,下扩散(underdiffusion)的量被确定为结深(junction depth)的函数。
对于源极/漏极扩展而言,希望具有相对浅的结深,以支持较小的晶体管尺寸。通常,在源极/漏极扩展注入技术中,最小的末端结深由必要的栅极下重叠确定。
源极/漏极扩展越浅,一般地,可被利用的栅长(gate length)越短,而不增大断路状态(off-state)漏电流。需要在栅极边缘下面的扩展掺杂,以确保在栅极下面的反型层(inversion layer)与高掺杂的源极/漏极扩展区域之间具有低电阻通道。对于高驱动电流而言,低电阻是所需要的,所述高驱动电流对于高电路切换速度是关键性的。
因此,需要更好的方式来制造场效应晶体管的源极/漏极结。
附图简要说明
图1是在加工的一个阶段大大地放大的剖视图;
图2是根据本发明的一个实施方案,在随后的加工阶段放大的剖视图;
图3是根据本发明的一个实施方案,在更加随后的加工阶段放大的剖视图;
图4是根据本发明的一个实施方案,在随后的加工阶段放大的剖视图;以及
图5是根据本发明的一个实施方案,在更加随后的加工阶段放大的剖视图;
具体实施方式
参见图1,重掺杂半导体衬底12可以被牺牲的、未掺杂的或者轻微掺杂的外延硅层18覆盖。在一个实施方案中,层18的厚度可以小于500埃。可以在外延硅层18上确定包括栅极16的栅电极结构,所述栅极16形成在栅极介电质14上。
例如,在单个晶片化学汽相沉积反应器中使用二氯硅烷基化学,可以实现牺牲的外延硅层18的选择性沉积,所述单个晶片化学汽相沉积反应器例如是Epsilon 3000外延反应器,可以从荷兰Bilthoven的ASM International NV获得。在825℃,20托的处理压力下,可以用150-200sccm二氯硅烷、100-150sccm HCl、20slm H2的气流沉积所述膜。在这些处理条件下,对间隔件(spacer)和氧化物区域获得选择性的同时,硅在暴露的衬底上可以获得每分钟10-15纳米的沉积速率。还可以使用其他沉积技术。
图1所示的结构有时被称为δ掺杂的晶体管。因为在外延层18下面存在相对高的掺杂,所以在衬底12和外延硅层18之间的界面处发生了大的浓度增量(δ)或者变化。
图1所示的结构可以被间隔件材料覆盖,然后被各向异性地蚀刻,以形成图2所示的侧壁间隔件(sidewall spacer)28。取决于所述隔离层蚀刻的选择性,外延硅18的一些有限的蚀刻可以同时进行。
间隔件形成之后,选择性湿法蚀刻可以将外延硅层18的暴露部分去除,并且可以继续在栅极16下面蚀刻,以获得图3所示的底切(undercut)结构。栅极16底切的程度可以通过调整蚀刻时间来控制。
例如,用各种氢氧化物基溶液可以选择性地蚀刻外延硅层18。然而,对于未掺杂或者轻微掺杂的层18相对于重掺杂的衬底12的高选择性而言,可以采用相对较温和的处理条件。
在一个实施方案中,在20℃下,体积浓度2到10%范围内的氢氧化铵水溶液可以与声波降解(sonication)一起使用。在本发明的一个实施方案中,声波降解可以通过换能器(transducer)来提供,所述换能器以每平方厘米.5至5瓦特的功率来散发超声或者兆声能。因为δ掺杂的晶体管在未掺杂区域下面具有重掺杂区域,所以它可以为湿法蚀刻充当蚀刻终止层。
在湿法蚀刻底切之后,可以生长掺杂的选择性外延硅层50。浅的、高掺杂的源极/漏极扩展50a在栅极16边缘和侧壁间隔件28下面横向地延伸所希望的距离,如图4中示出的那样。较厚的源极/漏极区域50b与间隔件28的边缘对准并且从间隔件28延伸出去。间隔件28使得扩展50a的长度能够被调整,并且允许层50的厚度扩大而不与栅极16短路。较厚的区域50b降低了区域50的电阻,并且使得该较低电阻的区域靠近栅极16的边缘。
作为一个实施例,在形成P型MOS(PMOS)晶体管时,源极/漏极扩展50a和凸起的源极/漏极50b可以通过选择性地沉积外延的硼掺杂的硅或者具有高达30%锗浓度的硅锗来形成。在一个实施方案中,在100sccm二氯硅烷,20slm H2,750-800℃,20托,150-220sccm HCl,150-200sccm二硼烷流,以及150-200sccm GeH4流的处理条件下,可以获得具有每分钟20纳米的沉积速率、1E20cm-3硼浓度和20%锗浓度的高掺杂的硅锗膜。0.7-0.9mOhm-cm的低电阻率由所述膜的高硼浓度产生。。
在一些实施方案中,低电阻率提供了在所述的扩展区域和源极/漏极区域中高电导率的益处。这个降低的电阻率可以降低外电阻。在一些实施方案中,源极/漏极区域50b中存在的较大的硅锗单元晶胞可以在沟道上施加压缩应变,这一点又可以导致增强的迁移性和晶体管性能。
在一个实施方案中,在N型晶体管(NMOS)中,源极/漏极50b和源极/漏极扩展50a可以使用原位沉积的磷掺杂的硅来形成。硅可以在750℃和20托,具有20slm H2气体运载流(carrier flow)的100sccm二氯硅烷,25-50sccm HCl,200-300sccm 1%的PH3的处理条件下被选择性地沉积。在一个实施方案中,可以在沉积的膜中获得具有0.4-0.6mOhm-cm电阻率的2E20cm-3的磷浓度。
此后,第二薄间隔件34可以使用图5所示的常规技术来形成。深的源极/漏极32可以使用间隔件28和34以及栅极16作为掩模,通过离子注入来形成。所述深的源极/漏极32的退火可以以这样的方式来完成,即,使包括层50中的掺杂剂的掺杂剂扩散降低或者最小化。
浅的源极/漏极扩展50a的特性以及它们下重叠栅极16的程度可以独立于深的源极/漏极结32的特性。源极/漏极扩展50a的栅极16扩展欠重叠的范围可以按要求来控制。
虽然,已经针对有限数量的实施方案对本发明进行了描述,但本领域的技术人员将从中意识到许多修改和变化。所附的权利要求书意欲覆盖所有这些落入本发明真正的精神和范围内的修改和变化。

Claims (15)

1.一种用于制造场效应晶体管的方法,包括:
在衬底上形成牺牲的外延沉积的材料层,在所述外延沉积的材料层上形成栅电极,在所述栅电极上形成侧壁间隔件,使用所述栅电极作为掩膜来蚀刻所述外延沉积的材料层,并且在所述侧壁间隔件下面蚀刻,并且选择性地湿法蚀刻所述外延沉积的材料以底切所述栅电极,从而形成具有外延沉积的源极/漏极的金属氧化物半导体场效应晶体管,所述外延沉积的源极/漏极在栅电极的边缘下面延伸。
2.如权利要求1所述的方法,包括形成在栅电极的边缘下面延伸的源极/漏极扩展。
3.如权利要求1所述的方法,其中形成牺牲的外延沉积的材料包括外延沉积硅材料。
4.如权利要求1所述的方法,包括使用声波降解来选择性地湿法蚀刻所述材料。
5.如权利要求1所述的方法,包括在所述衬底上沉积外延材料并且在所述栅电极下面延伸。
6.如权利要求5所述的方法,包括形成掺杂的外延材料。
7.如权利要求1所述的方法,包括将所述外延材料形成为靠近所述栅电极是较薄的、而离开所述栅电极是较厚的。
8.如权利要求1所述的方法,包括形成δ掺杂的晶体管。
9.一种用权利要求1所述的方法制成的场效应晶体管。
10.如权利要求9所述的晶体管,其中所述外延沉积的源极/漏极具有源极/漏极扩展,所述源极/漏极扩展由掺杂的外延半导体材料形成并且在所述栅电极的边缘下面延伸。
11.如权利要求10所述的晶体管,其中所述掺杂的外延半导体材料在靠近所述栅电极处具有第一厚度和在离开所述栅电极处具有第二厚度,所述第二厚度大于所述第一厚度。
12.如权利要求11所述的晶体管,包括侧壁间隔件,并且所述掺杂的外延半导体材料在所述侧壁间隔件下面延伸。
13.如权利要求12所述的晶体管,其中具有第二厚度的所述掺杂的外延半导体材料与所述侧壁间隔件对准。
14.如权利要求9所述的晶体管,其中所述晶体管是δ掺杂的晶体管。
15.如权利要求10所述的晶体管,包括在所述掺杂的外延半导体材料下面的离子注入的源极/漏极。
CN2004800387396A 2003-10-24 2004-10-22 外延沉积的源极/漏极 Expired - Fee Related CN1898785B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/692,696 US7060576B2 (en) 2003-10-24 2003-10-24 Epitaxially deposited source/drain
US10/692,696 2003-10-24
PCT/US2004/035204 WO2005041288A1 (en) 2003-10-24 2004-10-22 Epitaxially deposited source/drain

Publications (2)

Publication Number Publication Date
CN1898785A CN1898785A (zh) 2007-01-17
CN1898785B true CN1898785B (zh) 2011-09-07

Family

ID=34522194

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800387396A Expired - Fee Related CN1898785B (zh) 2003-10-24 2004-10-22 外延沉积的源极/漏极

Country Status (6)

Country Link
US (2) US7060576B2 (zh)
KR (1) KR100841806B1 (zh)
CN (1) CN1898785B (zh)
DE (1) DE112004002017B4 (zh)
TW (1) TWI260776B (zh)
WO (1) WO2005041288A1 (zh)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7122435B2 (en) * 2004-08-02 2006-10-17 Texas Instruments Incorporated Methods, systems and structures for forming improved transistors
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7247535B2 (en) * 2004-09-30 2007-07-24 Texas Instruments Incorporated Source/drain extensions having highly activated and extremely abrupt junctions
US20060151808A1 (en) * 2005-01-12 2006-07-13 Chien-Hao Chen MOSFET device with localized stressor
JP4984665B2 (ja) * 2005-06-22 2012-07-25 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US7579617B2 (en) * 2005-06-22 2009-08-25 Fujitsu Microelectronics Limited Semiconductor device and production method thereof
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
KR100764058B1 (ko) * 2006-09-20 2007-10-09 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성방법
US7943456B2 (en) * 2008-12-31 2011-05-17 Texas Instruments Incorporated Selective wet etch process for CMOS ICs having embedded strain inducing regions and integrated circuits therefrom
US9318571B2 (en) * 2009-02-23 2016-04-19 United Microelectronics Corp. Gate structure and method for trimming spacers
US8129247B2 (en) 2009-12-04 2012-03-06 International Business Machines Corporation Omega shaped nanowire field effect transistors
US8143113B2 (en) * 2009-12-04 2012-03-27 International Business Machines Corporation Omega shaped nanowire tunnel field effect transistors fabrication
US8455334B2 (en) 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US8722492B2 (en) 2010-01-08 2014-05-13 International Business Machines Corporation Nanowire pin tunnel field effect devices
US8324940B2 (en) 2010-04-13 2012-12-04 International Business Machines Corporation Nanowire circuits in matched devices
US8361907B2 (en) 2010-05-10 2013-01-29 International Business Machines Corporation Directionally etched nanowire field effect transistors
US8324030B2 (en) 2010-05-12 2012-12-04 International Business Machines Corporation Nanowire tunnel field effect transistors
US8835231B2 (en) 2010-08-16 2014-09-16 International Business Machines Corporation Methods of forming contacts for nanowire field effect transistors
US8536563B2 (en) 2010-09-17 2013-09-17 International Business Machines Corporation Nanowire field effect transistors
US20120126341A1 (en) * 2010-11-23 2012-05-24 Microchip Technology Incorporated Using low pressure epi to enable low rdson fet
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
CN102891178A (zh) 2011-07-19 2013-01-23 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
CN102891176B (zh) * 2011-07-19 2016-06-01 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
CN102891175B (zh) * 2011-07-19 2016-03-16 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US9263566B2 (en) 2011-07-19 2016-02-16 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor device and manufacturing method thereof
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
CN103247530B (zh) * 2012-02-10 2015-12-16 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
US20130214358A1 (en) 2012-02-17 2013-08-22 International Business Machines Corporation Low external resistance etsoi transistors
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
CN103578987B (zh) * 2012-07-19 2016-08-24 中国科学院微电子研究所 半导体器件及其制造方法
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US9412842B2 (en) 2013-07-03 2016-08-09 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9324830B2 (en) * 2014-03-27 2016-04-26 International Business Machines Corporation Self-aligned contact process enabled by low temperature
US9831341B2 (en) * 2014-06-16 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrated circuit
US9627512B2 (en) * 2014-08-13 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Field effect transistor with non-doped channel
US20160056261A1 (en) * 2014-08-22 2016-02-25 Globalfoundries Inc. Embedded sigma-shaped semiconductor alloys formed in transistors
KR102326316B1 (ko) * 2015-04-10 2021-11-16 삼성전자주식회사 반도체 소자의 제조 방법
US9805989B1 (en) 2016-09-22 2017-10-31 International Business Machines Corporation Sacrificial cap for forming semiconductor contact
CN112582476B (zh) * 2020-12-09 2022-05-06 全芯智造技术有限公司 半导体器件及其形成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1218283A (zh) * 1997-11-26 1999-06-02 日本电气株式会社 利用有选择的外延生长方法的半导体器件制造方法
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US6342421B1 (en) * 1994-09-13 2002-01-29 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US6605498B1 (en) * 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6313379A (ja) 1986-07-04 1988-01-20 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
US5384497A (en) * 1992-11-04 1995-01-24 At&T Corp. Low-skew signal routing in a programmable array
KR980005893A (ko) * 1996-06-21 1998-03-30 김주용 반도체 소자의 트랜지스터 제조 방법
US6159815A (en) * 1996-09-27 2000-12-12 Siemens Aktiengesellschaft Method of producing a MOS transistor
US6015740A (en) * 1997-02-10 2000-01-18 Advanced Micro Devices, Inc. Method of fabricating CMOS devices with ultra-shallow junctions and reduced drain area
US5998835A (en) * 1998-02-17 1999-12-07 International Business Machines Corporation High performance MOSFET device with raised source and drain
KR20010080432A (ko) 1998-11-12 2001-08-22 피터 엔. 데트킨 계단식 소스/드레인 접합을 갖는 전계 효과 트랜지스터 구조
US6887762B1 (en) * 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6403433B1 (en) * 1999-09-16 2002-06-11 Advanced Micro Devices, Inc. Source/drain doping technique for ultra-thin-body SOI MOS transistors
US7391087B2 (en) * 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6720632B2 (en) * 2000-06-20 2004-04-13 Matsushita Electric Industrial Co., Ltd. Semiconductor device having diffusion layer formed using dopant of large mass number
KR100416686B1 (ko) * 2000-10-12 2004-01-31 주식회사 메디슨 의료용 초음파 진단 시스템에 사용되는 집적된 고전압펄스 발생 회로
US6403434B1 (en) * 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6406951B1 (en) * 2001-02-12 2002-06-18 Advanced Micro Devices, Inc. Fabrication of fully depleted field effect transistor with raised source and drain in SOI technology
KR20040072738A (ko) * 2002-01-23 2004-08-18 스피나커 세미컨덕터, 인크. 변형 반도체 기판과 쇼트키 또는 쇼트키 콘택트와 유사한콘택트를 형성하는 소스 및/또는 드레인을 갖는 전계 효과트랜지스터
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6342421B1 (en) * 1994-09-13 2002-01-29 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
CN1218283A (zh) * 1997-11-26 1999-06-02 日本电气株式会社 利用有选择的外延生长方法的半导体器件制造方法
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US6605498B1 (en) * 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Gannavaram, S. et al..Low temperature (800°C) recessedjunction selective silicon-germanium source/drain technologyforsub-70 nm CMOS.Electron Devices Meeting, 2000. IEDM Technical Digest. International.2000,437-440.
Gannavaram, S. et al..Low temperature (800°C) recessedjunction selective silicon-germanium source/drain technologyforsub-70 nm CMOS.Electron Devices Meeting, 2000. IEDM Technical Digest. International.2000,437-440. *
JP昭63-13379A 1988.01.20

Also Published As

Publication number Publication date
US20060197164A1 (en) 2006-09-07
WO2005041288A1 (en) 2005-05-06
DE112004002017T5 (de) 2006-08-31
CN1898785A (zh) 2007-01-17
DE112004002017B4 (de) 2009-03-05
KR20060060058A (ko) 2006-06-02
TW200515596A (en) 2005-05-01
US7060576B2 (en) 2006-06-13
KR100841806B1 (ko) 2008-06-26
US20050087801A1 (en) 2005-04-28
TWI260776B (en) 2006-08-21

Similar Documents

Publication Publication Date Title
CN1898785B (zh) 外延沉积的源极/漏极
US6218711B1 (en) Raised source/drain process by selective sige epitaxy
CN100359639C (zh) 半导体器件和晶体管的制造方法
CN102640271B (zh) 全环栅纳米线场效应晶体管
CN1186811C (zh) 补偿型金属氧化物半导体器件结构及其制造方法
CN100565823C (zh) 用于通过减少自对准硅化物界面电阻改善晶体管性能的方法
CN102640270B (zh) Ω形状的纳米线场效应晶体管
CN101677063B (zh) 一种半导体元件及其形成方法
CN101410960B (zh) 在外延生长源漏区上选择性淀积覆盖层的结构与制造方法
CN102687253A (zh) 具有掺杂的外延区域的半导体器件及其制造方法
CN101145573A (zh) 半导体结构及其制造方法
CN101281926A (zh) 半导体结构
CN103579324B (zh) 一种三面源隧穿场效应晶体管及其制备方法
CN103560150A (zh) 具有外延源区和漏区的金属栅晶体管
CN101743621A (zh) 具有不同掺杂的有应变的电流电极区域的晶体管
US6365475B1 (en) Method of forming a MOS transistor
JP2001057428A (ja) 半導体素子の製造方法
CN104752212A (zh) 晶体管的形成方法
TWI739152B (zh) 具有增強局部等向性之磊晶半導體材料生長
CN108538911A (zh) 优化的l型隧穿场效应晶体管及其制备方法
CN106856170B (zh) 鳍式场效应晶体管的形成方法
CN1327498C (zh) 半导体装置和半导体装置的制造方法
CN105529268A (zh) 晶体管及其形成方法
CN100570884C (zh) 半导体结构及其制造方法
CN104701177B (zh) 晶体管的形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110907

Termination date: 20171022