CN1871695A - 采用不同频率的rf功率调制的高纵横比蚀刻 - Google Patents

采用不同频率的rf功率调制的高纵横比蚀刻 Download PDF

Info

Publication number
CN1871695A
CN1871695A CNA200480030884XA CN200480030884A CN1871695A CN 1871695 A CN1871695 A CN 1871695A CN A200480030884X A CNA200480030884X A CN A200480030884XA CN 200480030884 A CN200480030884 A CN 200480030884A CN 1871695 A CN1871695 A CN 1871695A
Authority
CN
China
Prior art keywords
frequency
performance number
etching
electrode
depth
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200480030884XA
Other languages
English (en)
Other versions
CN1871695B (zh
Inventor
C·鲁苏
R·德汉斯
E·A·赫德森
M·斯里尼瓦桑
L·李
F·克维切
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=34194363&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN1871695(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Priority claimed from US10/737,022 external-priority patent/US7144521B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1871695A publication Critical patent/CN1871695A/zh
Application granted granted Critical
Publication of CN1871695B publication Critical patent/CN1871695B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

提供了一种用于通过掩模将高纵横比特征蚀刻到衬底上的层中的方法。将所述衬底放置在加工室中(404),该加工室能够提供第一频率、不同于第一频率的第二频率以及不同于第一频率和第二频率的第三频率。将蚀刻气体引入到所述加工室中(408)。提供了第一蚀刻步骤(412),其中,所述第一频率、第二频率和第三频率处在用于第一蚀刻步骤的功率设定值上。提供了第二蚀刻步骤(416),其中,所述第一频率、第二频率和第三频率处于不同的功率设定值。任选地,也可提供第三蚀刻步骤(420)。

Description

采用不同频率的RF功率调制的高纵横比蚀刻
技术领域
本发明涉及用于将高纵横比特征之类的特征蚀刻到蚀刻层中的方法。
背景技术
本发明涉及半导体装置的形成。
已知这种方法:将处于两种不同频率的等离子体激励场应用于真空室中的区域,来对工件进行等离子体加工,其中,将某一气体引入到所述区域,且所述气体由所述场转化成用于加工的等离子体。所述工件通常为半导体晶片或介质板,且所述等离子体参与了在工件上形成集成电路特征的过程。通常,通过所述加工室中的一对彼此分隔的电极,或是加工室内的一个电极和加工室外的线圈形式的电抗来将处于两种不同频率的等离子体激励场引入到所述区域。经过激励的该等离子体通常对工件进行干蚀刻,但在某些情况下会导致将材料沉积在工件上。高频RF功率(具有超过约10MHz的频率)通常控制等离子体的密度,即等离子体的通量,而具有较低到中等范围的频率(从处于100KHz的范围到约为10MHz)的RF功率则通常控制入射在工件上的、等离子体中的离子的能量。
随着特征尺寸的持续变小,在对加工工件的等离子体的各种参数进行精确控制方面也提出了更高的要求。在等离子体参数中,需要精确控制的是等离子体的化学性质(即离子的和自由基的种类)、入射到衬底上的等离子体的通量和等离子体离子能量。随着特征尺寸的不断缩小和在制造集成电路过程中新材料的应用,加工工件中所包含的窗的尺寸也不断缩小,同时,这也将现有的等离子体处理机,特别是对用于蚀刻的处理机作了限制。不断缩小的特征尺寸和对于新材料的需求也限制了将相同的反应器,即真空加工室用于不同的蚀刻应用之中。
高纵横比(HAR)的小孔具有较高的孔深度和孔直径之比。用光刻胶掩模或硬掩模之类的掩模来提供小孔图案。在本说明书和权利要求中,将高纵横比特征定义为具有超过10∶1的深度/直径比的特征。
随着集成电路尺寸的缩小,在高纵横比接触孔蚀刻中,CD和轮廓控制连同蚀刻停止成为介质蚀刻中非常具有挑战性的问题。接触孔/通孔的各种特征(上部和下部的CD、结构角、弯曲和颈缩)取决于等离子体的性质(如等离子体的化学性质、离子与中性粒子之比、离子能量分布等等)和衬底的性质(介质材料的掺杂级、衬底的温度等)。然而,对相同的衬底性质而言,随着高纵横比接触件蚀刻的进行,等离子体的性质(如离子与中性粒子之比,总通量,等等)也发生了变化。随着孔的纵横比的增加,所述的性质变化造成了较低的蚀刻速度,而蚀刻速度的降低又导致蚀刻停止或锥形的轮廓,等等。从而,在提供改进的蚀刻时,需要将蚀刻条件根据蚀刻深度和所需的轮廓进行调整。
发明内容
为实现前述目标和符合本发明的目的,提供了一种用于通过掩模将高纵横比特征蚀刻到衬底上的层之中的方法。将所述基板放置于加工室中,该加工室能够提供第一频率、不同于第一频率的第二频率以及不同于第一频率和第二频率的第三频率。将蚀刻气体引入到所述加工室中。提供了第一蚀刻步骤,其中,所述第一频率处于第一功率值,第二频率处于第二功率值,第三频率处于第三功率值,其中所述三个功率中的至少两个大于零,其中,所述第一蚀刻在将要蚀刻的层中蚀刻至第一深度。提供了第二蚀刻步骤,其中,所述第一频率处于第四功率值,第二频率处于第五功率值,第三频率处于第六功率值,其中,第四和第六功率中至少有一个大于零,且第五功率大于零,并从第一功率不等于第四功率或第三功率不等于第六功率的组中选出一种情形,其中,所述第二蚀刻在要蚀刻的层中蚀刻至比第一深度深的第二深度。
在本发明的另一种表现形式中,提供了一种用于通过掩模在衬底上的层中蚀刻特征的设备。等离子体加工室包括形成等离子体加工室外壳的加工室壁、用于在所述等离子体加工室外壳中支撑衬底的衬底支撑、用于调节等离子体加工室外壳中的压力的压力调节器、为维持等离子体而将功率提供给等离子体加工室外壳的至少一个电极、用于将气体引入到等离子体加工室外壳中的气体入口、用于将气体从等离子体加工室外壳中排放出去的气体出口。气体源可以与所述气体入口之间流通流体。第一功率源在加工室壁内提供处于第一频率的功率。第二功率源在加工室壁内提供处于不同于第一频率的第二频率的功率。第三功率源在加工室壁内提供处于不同于第一频率和第二频率的第三频率的功率。将控制器可控地连接到所述气体入口和第一功率源、第二功率源、第三功率源。所述控制器包括至少一个处理器和计算机可读介质,而后者包含用于通过所述气体入口引入蚀刻气体的计算机可读代码、用于执行第一蚀刻步骤的计算机可读代码和用于提供第二蚀刻步骤的计算机可读代码。所述第一蚀刻步骤包括从处于第一功率值的第一功率源供能、从处于第二功率值的第二功率源供能和从处于第三功率值的第三功率源供能,其中,所述第一功率值和第三功率值大于零,且用所述第一蚀刻在要蚀刻的层上蚀刻所述特征至第一深度。用于执行第二蚀刻步骤的计算机可读代码包括从处于第四功率值的第一功率源供能、从处于第五功率值的第二功率源供能和从处于第六功率值的第三功率源供能,其中,所述第一功率值和第三功率值大于零,且用所述第一蚀刻在将要蚀刻的层上蚀刻所述特征至第一深度,其中,所述第四和第六功率值中的至少一个大于零,且所述第五功率值大于零,并从第一功率值不等于第四功率值或第三功率值不等于第六功率值的组中选出某一条件,其中,所述第二蚀刻在要进行蚀刻的层中蚀刻所述特征至大于第一深度的第二深度。
以下,在本发明的结合附图的详细说明中就本发明的这些和其他特征进行更为详细的阐述。
附图说明
在附图的各图中,通过举例而不是通过限制的方式对本发明进行了图示说明,在这些图中,相似的附图标记表示类似的部件,其中:
图1是根据本发明的一个优选实施例的真空等离子体处理机的局部示意图。
图2A-C形成了图1中的控制器所包括的电子电路的框图和图1中所示的真空处理机室的电路部分的图示。
图3是根据本发明的另一个实施例的真空等离子体处理机的局部示意图。
图4是用于本发明的一个实施例中的过程的高级流程图。
图5A-D是衬底的示意的横截面图,所述衬底具有一个蚀刻层,该蚀刻层中蚀刻了高纵横比的特征。
图6A-C是衬底的示意的横截面图,所述衬底用于在进行蚀刻加工时增大特征的纵横比。
图7示出了作为提供的RF频率的函数的、用于相同的化学性质和相同的功率值的等离子体粒子组成。
图8A-B是处于各种不同频率的各个功率的离子能量及其分布图。
图9A和9B示出了一种计算机系统,该系统适于实现控制器。
具体实施方式
现在,通过引用附图中示出的本发明的一些优选实施例,将对本发明进行详细说明。在以下说明中,陈述了大量的具体细节,以便让读者透彻地理解本发明。然而,对本领域技术人员而言,显然,不具有一部分或所有这些细节也可以实施本发明。在其他情况下,没有详细说明众所周知的加工步骤和/或结构,以避免不必要地干扰对本发明的说明。
现在参阅图1,其中,示出具有纵轴11(即中心线)的等离子体处理机真空室10包括导电金属壁12、下部电极组合13和上部电极组合14。壁12具有与轴11共轴的圆形内周界。且壁12接地,即其处于DC和RF参考电位。在加工过程中,真空泵9将室10的内部维持在数量级为0.001到500托的真空状态。室10的内部包括封闭的等离子体区域8,所述区域处于与下部电极组合13的上表面接近的下边界和与上部电极组合14的下表面接近的上边界之间,且封闭的等离子体区域8的侧边界与壁12相分隔。
通常称为下部电极的下部电极组合13与轴11共轴,且固定到电绝缘环17上,而后者又固定到室10的接地的金属基座19上。电极组合13包括圆形的中心金属电极16,所述电极与轴11共轴,并具有用于容纳圆形工件18的上表面,而所述工件通常为具有与金属电极16的直径基本相等的直径的半导体晶片。当工件18处于恰当的位置时,它的中心与轴11重合。可以将电极16连接到DC夹持电压源(未示出),以使用静电力将工件18夹持到电极16上。通过用管道21和阀门22将氦气源20连接到电极16中的某一区域(未示出),和响应某一电信号,用本领域技术人员已知的方式对电极16和工件18的温度进行了控制,所述电信号是控制器24响应由(1)设定值源25提供给它的温度设定值和(2)所述电极的温度测量值产生的,而所述温度测量值是由内置于电极16中的温度监视器26产生的信号指明的。
下部电极组合13也包括电绝缘环28,该环通常由石英制成。环28固定到绝缘环17的上表面,且与轴11共轴,同时,它具有基本上等于工件18的直径的内直径,以便当工件18处于恰当的位置时,所述工件的周界几乎与环28的内周界邻接。环17的上表面的处于环28以外的部分和环17的侧壁分别由绝缘环33和接地的金属环32覆盖。在绝缘环33上叠放了金属电极环34,所述电极环上可以覆盖或涂覆一层(未示出)介质或导电材料。导电环34和其覆盖或包覆层由不会污染区域8中的等离子体的化学性质的材料制成。这样的材料是合适的、导电率相对较高的半导体材料,如本征硅。另外,环34是由合适的非污染材料覆盖的金属。在特定的情况下,环34通过介质绝缘环33与接地的金属环32电绝缘,而在其他情况下,环34电连接到接地的环32。环33和34与轴线11共轴,并在下部电极组合13的外边缘和环28之间水平地延伸。
上部电极组合14包括中心电极36,该电极与轴线11共轴,并具有由不污染区域8中的等离子体化学性质的、导电的本征硅制成的下表面36a。电极36包括内部通道(未示出)和多个喷头孔(未示出),这些通道和喷头孔与合适的加工气体源37之间可以流通流体,且所述加工气体通过喷头孔进入区域8,并在其中转化成对工件18进行加工的等离子体。电极36包括对某一电信号作出反应的加热和/或冷却装置45,而所述电信号是控制器24响应设定值源25提供给它的设定值信号和指示电极36的温度的信号通过导线35提供给装置45的,且所述指示温度的信号是由内置于组合14之中的温度表39生成的。
组合14也包括绝缘环38和金属环40。绝缘环38与轴线11共轴,并优选地由石英制成和基本与环28对准。环38具有与中心电极36的外周界邻接的内周界。与轴线11共轴的金属环40具有分别与绝缘环38的外周界和侧壁12的内周界邻接的内外周界,以便使环40处于RF和DC的地电位。金属环40的较低的内表面由电绝缘环41覆盖,且所述绝缘环携带了导电的电极环42。在电极环42上或涂抹或覆盖了一层(未示出)不污染区域8中的等离子体的化学性质的导电或绝缘材料。在特定的情况下,环42通过环41和环41的下垂凸缘(未示出)与环40和壁12电绝缘,在其他情况下,则电连接到环40和壁12。
从之前所述可知,封闭的等离子体区域8具有由(1)电极36的下表面36a,(2)绝缘环38的下表面和(3)电极环42的下表面确定的上边界,以及由(1)工件18的上表面(当工件在恰当的位置上时),(2)绝缘环28的上表面和(3)电极环34的上表面确定的下表面。马达43通过相对于下部电极组合13的上表面上下移动上部电极组合14的下表面来控制区域8的上下边界之间的间隔。响应来自控制器24的信号,马达43将电极组合13和14的表面之间的间隔设置在相对激励加工工件18的等离子体的特定频率试验确定的最优值上,且所述值是从设定值源50得到的。
通过由不污染区域8中的等离子体的化学性质的材料制成的、彼此分隔的和垂直堆叠的条板44限定了封闭的等离子体区域8的侧面的边界。条板44由电绝缘材料(优选为介质,如石英)或稍微导电的材料(如碳化硅)制成,以便让这些条板充电、电位浮置或电接地。条板44的配置方式使得没有大量的等离子体从条板44之间的缝隙之间流过。然而,区域8中的未离子化的气体通过条板44之间的缝隙逃逸到处于壁12和环32之间的室10中的区域46,并通过泵9经基座19的合适开孔从室10的内部抽出。
通过合适的分隔装置(未示出),将条板44在垂直的方向上固定地彼此分隔,并且通过马达47将这些条板相对于彼此和下部的组合13上下驱动,以控制封闭的等离子区域8中的压力。区域8中的压力是通过由设定值源25提供给控制器24的压力设定值和区域8中压力表48的输出信号控制的。控制器24响应所述压力设定值和压力表48的输出信号来控制马达47,从而改变了最下方的条板44的下表面和电极组合13的上表面之间的间隔。因此,区域8中的压力维持在所述压力设定值上。将条板44进行配置,使得所述条板不随马达43的启动而移动,以与电极组合13和14之间的间隔无关地控制封闭的等离子体区域8中的压力。
响应设定值源50,控制器24对将几种不同RF频率的各种组合从源装置51耦合到电极16、34、36、42进行控制。应用于电极16、34、36和42的不同RF频率可具有不同功率,并能对封闭区域8中的等离子体的不同现象进行控制。在图1的实施例中,控制器24有选择地将三个不同的频率从源装置51应用于电极16、34、36和42。图中示出源装置51包括三个独立的源52、54和56,这些源可以是固定的频率源,但优选为低功率的可变频率振荡器。另一方面,源装置51包括单一的低功率合成器,该合成器可以产生三种选定的频率。所述低功率源驱动相关的可变功率增益的RF功率放大器,且所述放大器具有随与特定的放大器相关的源的频率变化而变化的可变通频带。为此,源52、54和56中的每一个均具有相关的频率和功率设定58和59。通常,源52的频率处于100KHz和10MHz之间的相对较低的范围内,源54的频率处于10MHz和150MHz之间的中间范围内,且源56的频率处于27MHz和300MHz之间的相对较高的范围内。在一个经过实际测试的配置中,将源52、54和56的频率分别设置成2MHz、27MHz和60MHz。应用于区域8的RF能量的频率和功率的各种组合影响了封闭区域8中的等离子体的密度分布、等离子体的离子能量与DC偏压以及区域8中的等离子体的化学性质。
源54和56的频率控制了等离子体的化学性质,因为,在所有其他重要的等离子体激励参数保持不变的情况下,随着等离子体激励频率的增加,出现了程度更大的等离子体离解。特别地,随着频率的增加,较轻的蚀刻剂分子在等离子体中所占的百分比也将增加。应用于等离子体的较高频率导致了更大程度上的分子碎裂。
用来自源52、54和56的频率和功率的各种组合驱动电极16、34、36和42,可以为各种目的对等离子体进行调整,如提供一致或非一致的等离子体密度、离子能量和分子碎裂。
响应来自设定值源50的输出信号和源装置51的RF输出,控制器24对将几种来自源装置51的频率以各种组合和排列应用于电极16、34、36和42进行控制。在一个特殊的实施例中,设定值源50启动控制器24,使得(1)来自源52、54和56的至少其中一个频率,但最多三个频率驱动电极16和36,同时电极34、36和42接地;(2)来自源52、54和56的至少其中两个频率驱动电极16,同时电极34和42接地;(3)源54或56中仅有一个驱动电极16或36,或者,仅源52驱动电极16,同时电极34和42接地;(4)源52和/或源54驱动电极34,或者,该电极通过某一滤波器连接到地,所述滤波器具有让源52和/或源54的频率(即频率F2和F3)通过的通带,同时,剩余的电极16、36和42具有各种到源52、54和56的连接;和(5)源52和/或源54驱动电极42,或者,该电极通过某一滤波器连接到地,所述滤波器具有让源52和/或源54的频率(即频率F2和F3)通过的通带,同时,剩余的电极16、34和36具有各种到源52、54和56的连接。
现在参阅附图2,其中的框图包括了控制器24的电路,且所述控制器用于有选择地将源52、54和56的输出耦合到电极16、34、36和42。
具有频率F1的低频源52仅驱动下部电极组合13的中心电极16。为此,将源52的输出通过电压、电流和相位角度传感器60以及开关62和64耦合到匹配/可调接地网58。网络58包括可变电抗,所述电抗具有预设的值,以相对于源52的频率而言在源52的输出阻抗和区域8中的等离子体的预期阻抗之间提供近似的匹配。而传感器60则得到指示在源52和匹配/可调接地网58之间流动的电流、源52的输出和地58之间的电压以及所述感应的电压和电流之间的相角的输出信号。传感器60将这些电流、电压和相角信号提供给控制器66,后者响应这些信号来控制源52的频率,以便以本领域技术人员知晓的方式在源52的输出阻抗和处于源52的频率的等离子体的阻抗之间维持基本精确的阻抗匹配。另外,如果源52的频率是固定的,则传感器60将这些电流、电压和相角信号提供给控制器66,后者响应这些信号来控制匹配网络58的可变电抗,以便以本领域技术人员知晓的方式在源52的输出阻抗和处于源52的频率的等离子体的阻抗之间维持基本精确的阻抗匹配。网络58的可变电抗,当其得到匹配时,将根据源52的频率进行近似的调整,以便为源52的频率在两个方向上提供低阻抗通道和为源54和56的频率提供高阻抗通道,以便基本衰减源54和56的频率,即网络58拒用了这两种频率。当源52的频率随操作员引起的其设定值的变化而发生变化时,控制器66相应地改变网络58的电抗,以便为源52的频率维持所述低阻抗通道和相对于源52的频率而言在所述源的输出阻抗与所述等离子体的阻抗之间维持近似的匹配。
通常希望,当源52驱动电极16时,在电极16和36之间能够存在处于源52的频率的电场。为此目的,将电极36有选择地通过开关65和带通滤波器67连接到地,且所述滤波器具有等于操作员设定的源52标称频率的中心频率。因此,处于源52的频率的电流通过滤波器67从电极36流到地中,对于源54和56的频率,该滤波器具有较大的阻抗,从而基本上阻断了处于源54和56的频率的电流。在这种情况下,常常希望将电极34和42连接到DC和RF地,而这可以通过让控制器24合上分别连接于电极34和42与地之间的开关69和71达到。为其他目的,控制器24通过合上连接于电极16和地之间的开关72将电极16连接到RF和DC地。
在正常的运行过程中,源52驱动电极16,且开关62和64按照图示的方式进行连接。然而,在其他情况下,在将源52与电极16解耦时,由控制器启动开关62和64,以便使开关62和64分别与电阻器68和70的第一端子接合,且所述电阻器均具有等于源52的输出阻抗的电阻值。电阻器68和70的第二端子连接到地,以便在将源52与电极16解耦时,源52的输出驱动与源52的输出阻抗匹配的负荷。在这些条件下,网络58的输入端子连接到电阻器70上,使得从电极16往回看网络58的输出端子的阻抗与源52耦合到电极16时的阻抗相等。此外,因为将网络58根据源52的频率进行了近似的调整,从而网络58提供了从电极16开始,经由网络58的经过调整的电路,并通过电阻器70连接到地的处于源52的频率的低阻抗和从电极16开始,经由网络58的经过调整的电路,并通过电阻器70连接到地的处于源54和56的频率的高阻抗。
具有频率F2的中频源54能够驱动(1)仅仅电极16,(2)仅仅电极36,(3)电极16和电极36(同时),(4)仅仅电极34,(5)仅仅电极42,(6)电极34和36(同时),(7)电极34和42(同时),(8)电极36和42(同时)。
为这些目的,将源54的输出耦合到三位置共轴RF开关74,该开关具有有选择地连接的、以便在不同时间驱动匹配/可调接地网76和78的第一和第二输出端子。除网络76和78为源54的频率提供了匹配和可调的接地这一点以外,网络76和78彼此相同,并与网络66类似。这样,网络76和78让处于源54的频率的电流和电压通过,但是阻断了处于源52和56的频率的电流和电压。开关74包括连接到功率分配器80的输入端口的第三端子,且所述功率分配器具有第一和第二输出端口,在这些端口上,可以得到处于源54的频率的、相位相反的功率。取决于其设计和设定值,分配器80的输出端口处的功率可以相同或不同;可以由操作员人工设定,或由控制器24响应其存储器储存的程序自动地设定分配器80的设定值。分别将处于分配器80的第一和第二输出端口的功率同时地提供给网络76和78。通过电压、电流和相角传感器82以及开关84和86,将功率从开关74的第一输出端子或分配器80的第一输出端子提供给网络76的输入端,而开关84和86则分别有选择地通过电阻器88和90连接到地。通过电压、电流和相角传感器92以及开关94和96,将功率从开关74的第二输出端子或分配器80的第二输出端子提供给网络78的输入端,而开关94和96则分别有选择地通过电阻器98和100连接到地。控制器102和104分别与网络76和78以及传感器82和92有关。响应传感器82和92的输出以及操作员的输入,控制器102和104以与之前用于控制器66的方式相同的方式控制网络76和78的电抗值和源54的频率。
响应来自控制器24的控制信号,三位置共轴RF开关106有选择地将网络76的输出连接到电极16或电极34,或是让网络76的输出开路。响应来自控制器24的控制信号,三位置共轴RF开关108与开关106一起有选择地将网络78的输出连接到电极36或电极42,或是让网络78的输出开路。在控制器24启动开关74以将源54的输出耦合到网络76的同时,所述控制器合上开关106,以将网络76的输出连接到电极16或电极34。如果控制器24通过让开关74接合其第一输出端子来使得网络76的输出耦合到电极16,则该控制器(1)断开开关72,以防止电极16接地和(2)断开或合上开关69,以分别将电极34与地解耦或将其接地。如果控制器24通过让开关74接合其第一输出端子来使得网络76的输出耦合到电极34,则该控制器(1)断开开关69,以防止电极34接地和(2)断开或合上开关72,以分别将电极16与地解耦或将其接地。如果控制器24通过让开关74接合其第二输出端子来使得网络78的输出耦合到电极36,则该控制器(1)当开关110合上时,断开将电极36连接到RF和DC地的开关110,和(2)断开或合上开关71,以分别将电极42与地解耦或将其接地。如果控制器24通过让开关74接合其第二输出端子来使得网络78的输出耦合到电极42,则该控制器(1)断开开关71,以将电极42与地解耦和(2)断开或合上开关110,以分别将电极36与地解耦或将其接地。如果控制器24启动开关74,使得分配器80同时将功率分配给网络76和78,则控制器24断开开关69、71、72和110,以免连接到网络76和/或78输出端的电极16、34、36或42中的任何一个接地。
具有频率F3的高频源56可以驱动(1)仅仅电极16,(2)仅仅电极36,(3)电极16和36(同时),(4)仅仅电极34,(5)仅仅电极42,(6)电极34和36(同时),(7)电极34和42(同时),和(8)电极36和42(同时)。
为这些目的,源56的输出驱动与源54驱动的电路相同的电路,除了以下这一点:将与源56相关的匹配/接地网112和114根据源56的标称频率进行调整,并将它们预设成使得网络112和114通过来自源56的电流和电压,但阻断源52和54的电流和电压。从而,将源56的输出耦合到三位置共轴RF开关116,所述开关具有分别连接以驱动网络112、114和分配器118的第一、第二和第三输出端子,且所述分配器具有连接的以驱动网络112和114的输入端子的第一和第二输出端子。将开关116和分配器118的第一输出端子通过电压、电流和相角传感器120以及开关122和124有选择地连接到匹配网络112的输入端子,同时将开关116和分配器118的第二输出端子通过电压、电流和相角传感器126以及开关128和130有选择地连接到匹配网络114的输入端子。分别将开关122、124、128和130通过电阻器131-134有选择地连接到地,其中,每个电阻器具有与源56的输出阻抗相等的电阻值。控制器136和138分别和网络112和114以及传感器120和126相关,以控制网络112和114以及源56的频率。
控制器24启动(1)三位置共轴开关140,以有选择地将网络112的输出连接到电极16或电极34,或是既不连接到电极16也不连接到电极34,和(2)三位置共轴开关142,以有选择地将匹配网络络114的输出连接到电极36或电极42,或是既不连接到电极36也不连接到电极42。控制器24,连同开关116和142的启动,断开了开关69、72和110,以防止连接到网络112和114的输出端子的电极16、34、36或42中的任何一个接地,如之前的涉及与源54相关的电路的部分所述。
控制器24启动图2中的各种开关,以便为几种能应用于电极16、34、36和42的几个频率的各种组合和排列提供较强的通用性。例如,可以将源52、54和56的低、中和高频率同时应用于电极16,同时,将开关69、71和110合上,以将电极34、36和42接地。在这些情况下,由于电场从电极16耦合到电极34、36和42的地电位,在等离子体封闭区8中,处于源52、54和56的每个频率的能量的不同部分被分流到地。所述处于频率F1、F2和F3的每个频率的、从电极16耦合到电极34、36和42的地电位的能量数量是(1)与所述三种频率中的每种频率相关的波长、(2)电极16和36之间的距离、(3)电极16和34之间的距离、(4)电极36和42之间的距离的函数。此外,电极的几何形状和马达47控制了室10中的电极的各种不同组合之间的距离。
第二种示例情形包括将所述较低和中间频率应用于下部电极16,同时将所述较高频率应用于上部电极36和将电极34和42接地,以及合上开关65,从而通过带通滤波器67仅为所述较低频率提供了从电极36开始到地的低阻抗通道。此外,合上开关96和142,以将电极36连接到网络78的输出端子,并将网络78的输入端子通过电阻100连接到地,这样,便为源54的中间频率形成了从电极36开始,经过网络78到地的低阻抗通道。由于源56的较高频率和电极36和42之间的相对较近的间隔,因而处于所述较高频率的电场倾向于主要存在于区域8的上部,以便为离解从源37流入到区域8中的气体提供相对较大的电场密度。处于所述高频的电场不倾向于耦合到电极16,因为从电极16到地不存在对于所述较高频率的低阻抗通道。网络58和76实际上是用于所述较低和中间频率的、并拒绝所述高频率电流的带通滤波器。由于网络58和76对于所述较高频率具有较高的阻抗,因而它们将所述较高频率与电极16进行了解耦。
与和较高频率F3相关的电场不同,和源52的较低频率F1相关的电场从电极16延伸到(1)电极34,(2)电极36和(3)电极42。电极36中所得的处于频率F1的电流通过滤波器67的低阻抗通道流入地中。因此,与频率F1相关的电场影响了遍及区域8的离子能量。
与源54的中间频率相关的电场主要从电极16延伸到电极34和36,并在较小的程度上延伸到电极42。电极36中所得的处于频率F2的电流通过网络78的低阻抗通道经由开关108、96和电阻器100流入地中。
第三种示例情形包括将所述较低和中间频率应用于下部的电极16和将所述中间频率应用于上部的电极36,同时,将电极34和42接地以及合上开关65,从而,仅为所述较低频率提供了从电极36经过带通滤波器67到地的低阻抗通道。从而,以与之前所述的用于第二种示例情形的方式相同的方式,将源52的较低频率耦合到区域8中的等离子体。对第三种示例情形而言,源56的较高频率并不是一个考虑因素,因为控制器24造成了开关140和142与它们开路的端子进行接合。通过让开关74接合其第三输出端子,以使分配器80响应来自源54的功率,将源54的中间频率耦合到电极16和36。控制器24合上开关106和108,以便使网络76和78的输出分别驱动电极16和36。因此,处于所述中间频率的电场耦合于(1)电极16和36,(2)电极16和34,和(3)电极36和42之间。结果,处于所述中间频率的电场影响了遍及区域8的离子能量、等离子体密度和分子离解。
第四种示例情形包括将所述较低频率应用于电极16和将所述中间和较高频率应用于电极36,同时,将电极34和42接地。在这种情况下,控制器24启动(1)开关74和116,使它们到达各自的第二位置,(2)开关108和142,以便将网络78和114的输出端子连接到电极36,(3)开关94和96,以便将网络78的输入端子连接到传感器92,(4)开关128和130,以便将网络114的输入端子连接到传感器126,(5)开关106和140,以便将网络76和112的输出端子分别连接到电极16和开路,和(6)开关86和124,以便将网络76和112的输入端子分别通过电阻90和132连接到地。因此,源52的较低频率具有从电极36经过带通滤波器67到地的低阻抗通道,但是源54和56不具有这样的从电极36到地的低阻抗通道。结果,以与之前所述的用于第二种示例情形的方式相同的方式将源52的较低频率耦合到8中的等离子体。存在从网络78的输出端子开始,通过开关108到达电极36的低阻抗通道,从此,该通道通过区域8中的等离子体到达(1)电极16,并通过网络76、开关86和电阻器98到地和(2)电极42并到地。因此,处于所述中间频率的大量电场在区域8中存在于电极36和42以及电极16和36之间。结果,主要控制存在对区域8的上部、电极16和36之间的区域8的中心部分的离子分布。对这种情形而言,源56的较高频率的仅有的低阻抗通道处于电极36和42之间。电极16和36之间不存在用于源56的较高频率的低阻抗通道,因为,通过将开关140开路,已经将电极16与网络112的输出端子进行了解耦。电极36和34之间的间隔对于源56的较高频率使得电极34和36之间的等离子体的阻抗远大于电极36和42之间的阻抗。因此,以与之前所述的用于第二种示例情形的方式相同的方式,源56的较高频率影响了区域8中的等离子体。
在第五种示例情形中,将源52和54的较低和中间频率应用于下部电极16,同时将电极34、36和42接地。为此,控制器24合上开关106,以将网络76输出端连接到电极16,同时合上开关69、71和110。从而,以与所述的用于第一种示例情形的所述较低和中间频率的方式相同的方式,源52和54的较低和中间频率影响了区域8中的等离子体。由于与第三种示例情形中所阐明的相同的原因,区域8中的等离子体未受到源56的较高频率的影响。
在其他的示例情形中,控制器24能够控制图2中的各种开关,使得只有源52的较低频率连接到电极16,而源54和源56没有连接到任何电极。在这样的情况下,控制器24合上开关110,且室10以略显简单的方式对工件进行加工。此外,控制器24能将源54和56中的任一个或这两者的输出连接到电极16、34、36和42中的任一个电极。例如,可能希望将源56的较高频率耦合于电极16和36之间,同时将源54的中间频率耦合于电极36和34之间。在这样的情况下,控制器(1)断开开关69、71、72、110,(2)合上开关74、94和96以及开关116、128和130,以便将源54和56的输出分别应用于网络78和114的输入端子,(3)合上开关108和142,以便将网络78和114的输出连接到电极36,(4)合上开关106和86,以便为源54的中间频率形成从电极34开始,经由网络76和电阻器94到地的低阻抗通道,和(5)合上开关140和124,以便为源56的较高频率形成从电极16开始,经由网络112和电阻器132到地的低阻抗通道。因此,在区域8中建立了电场,所述电场(1)仅用于处于电极16和36之间的源56的较高频率,和(2)仅用于处于电极34和36之间的源54的中间频率。因为对于源54的中间频率而言,不存在从电极16到地的低阻抗通道,因此,没有为所述中间频率在电极16和36之间的区域8中建立很强的电场。因为对于源56的较高频率而言,不存在从电极34到地的低阻抗通道,因此,没有为所述较高频率在电极34和36之间的区域8中建立很强的电场。同样应当理解,可以用与所述和图示的电路类似的合适带通滤波器电路专为源56的较高频率提供电极36和42之间的低阻抗通道。
现在参阅附图的图3,其中示出了室10的第二个实施例的示意图。图3中的实施例与图1中的实施例类似,但是图3中的实施例具有体积大得多的、延伸到室的壁12和基座19的等离子体封闭区。因此,图3中的实施例没有包括条板44,并且,通过使用真空泵9的压力控制,唯一地控制了用等离子体加工工件18时的压力。金属环40的整个下表面,环32的侧壁和侧壁12的内表面均已接地,并确定了图3中的实施例中的等离子封闭区的边界的各个部分。为防止金属环40的下表面的任何部分对等离子体造成化学污染,环32的侧壁或侧壁12的内表面,所有这些表面上均覆盖了板100,且所述板由不污染区域8中的等离子体的化学性质的、本征硅之类的导电或介质材料制成。因为侧壁12是图3中的实施例中的等离子体封闭区的一部分,因而以与所述的用于控制图1的实施例中的电极组合14的方式相同的方式对所述侧壁的温度进行控制。
图3的实施例中的电极对几种RF频率产生响应,并且,以与联系图1和图2所述的方式类似的方式对所述电极进行了控制。由于图3的实施例中的等离子体密封区的较大体积和复杂形状的原因,使得图3的室中的电场明显地不同于图1的室中的电场。然而,在某种程度上,图3的实施例中的电场对于等离子体的影响与联系图1和图2的实施例的说明所述的对应电场对等离子体的影响相类似。
图9A和9B示出了计算机系统800,该系统适于实施在本发明的实施例中使用的控制器24。图9A示出了所述计算机系统的一种可能的物理形式。当然,该计算机系统可以具有从集成电路、印刷电路板和小型的手持装置到较大的超级计算机等许多种物理形式。计算机系统800包括监视器802、显示器804、机箱806、磁盘驱动器808、键盘810和鼠标812。磁盘814是一种用来将数据传送到计算机系统800和从该系统接收数据的计算机可读介质。
图9B是计算机系统800的框图的一个实例。连接到系统总线820的是许多种子系统。处理器822(也称为中央处理单元或CPU)耦合到存储装置,包括存储器824。存储器824包括随机存取存储器(RAM)和只读存储器(ROM)。如本行业中众所周知的,ROM用来将数据和指令单向地传送给CPU,且RAM通常用来在两个方向上传送数据和指令。这两种存储器可以包括以下所述的任何合适的计算机可读介质。同样,固定磁盘826双向地耦合到所述CPU822;所述固定磁盘提供了额外的数据存储容量,并可以包括以下所述的任何计算机可读介质。可以用固定磁盘826存储程序、数据等等,且所述固定磁盘通常是比主存储器慢的第二存储器(如硬盘)。将会理解,在适当的情况下,可以以标准方式将固定磁盘826中保存的信息以虚拟内存的形式包含到存储器824之中。可移动磁盘814则可以采取以下所述的任何计算机可读介质的形式。
CPU822也耦合到显示器804、键盘810、鼠标812和扬声器830之类的多种输入/输出设备上。一般地,输入/输出装置可以是以下任意一种:视频显示器、跟踪球、鼠标、键盘、麦克风、触摸显示器、传感器式的读卡机、磁带或纸带阅读器、图形输入板、指示笔、声音或笔迹识别器、生物特征阅读器或其他计算机。使用网络接口840,可将CPU822任选地耦合到另一台计算机或电信网络。我们考虑,使用这样的网络接口,在执行上述的方法步骤的过程中,所述CPU可以接收来自所述网络的信息,也可以将信息输出到所述网络。而且,可以单独地通过CPU822执行,或与分担了一部分处理量的远方CPU一起在因特网之类的网上执行本发明的方法实施例。
此外,本发明的实施例还涉及具有计算机可读介质的计算机存储产品,所述介质存储了用于执行各种用计算机实现的操作的计算机代码。所述介质和计算机代码可以是为本发明的目的而特别设计和制造的,或者,它们可以是计算机软件行业人员熟知的和可得到的。计算机可读介质的实例包括,但不限于:硬盘、软盘和磁带之类的磁介质;CD-ROM和全息装置之类的光介质;光磁软盘之类的磁-光介质;以及专门配置成储存和执行程序代码的硬件装置,如专用集成电路(ASIC)、可编程逻辑装置(PLD)、ROM和RAM装置。计算机代码的实例包括由编译器产生的机器码,和包含由使用解释程序的计算机执行的更高级代码的文件。计算机可读介质也可以是表示了可以由处理器执行的指令序列的、由包含于载波之中的计算机数据信号传输的计算机代码。
图4是在本发明的一个实施例中采用的过程的高级流程图。将具有蚀刻层和所述蚀刻层上放有掩模的衬底放置于加工室中(步骤404)。该加工室可以是图1和图3中示出的加工室中的任一种,并能够同时提供处于至少三种不同频率的RF功率。图5A是衬底504的示意的横截面图,所述衬底具有要蚀刻的层508,且在所述层上放有掩模512。将要蚀刻的所述掩模材料可以是各种类型的光刻胶(如DUV,193nm或157nm)或不同的硬掩模材料(如多晶硅,氮化钛等)。
将蚀刻气体引入所述加工室(步骤408)。该蚀刻气体可以是传统的蚀刻气体。为第一蚀刻步骤提供了第一频率、不同于第一频率的第二频率和不同于第一频率与第二频率的第三频率,其中,所述第一蚀刻在所述介质层中蚀刻所述特征至第一深度(步骤412)。图5B是在蚀刻层508将特征516蚀刻到第一深度520后,具有蚀刻层508的衬底504的示意的横截面图。将用于所述RF源的功率设定值进行优化,以在产生由所述特征宽度与所述特征深度之比定义的所述纵横比时,蚀刻所述第一深度。在一个实例中,所述第一频率处于第一功率值,所述第二频率处于第二功率值,所述第三频率处于第三功率值,其中,至少所述第一功率值和第三功率值大于零,且所述第一蚀刻在所述介质层中蚀刻所述特征至第一深度。
为第二蚀刻步骤提供了不同于第一蚀刻步骤的功率,其中,所述第二蚀刻在所述介质层中蚀刻所述特征至比所述第一深度大的第二深度(步骤416)。图5C是在蚀刻层508将特征516蚀刻到比所述第一深度更深的第二深度524后,具有蚀刻层508的衬底504的示意的横截面图。将用于所述RF源的功率设定值进行优化,以在产生由所述特征宽度与所述特征深度之比定义的所述纵横比时,从所述第一深度蚀刻到所述第二深度。为继续以上实例,所述第一频率处于第四功率值,所述第二频率处于第五功率值,所述第三频率处于第六功率值,其中,所述第四和第六功率值中至少有一个大于零,且所述第五功率值大于零,并且,从所述第一功率值不等于所述第四功率值和所述第三功率值不等于所述第六功率值的组中选取了某一条件,其中,所述第二蚀刻在介质层中蚀刻所述特征至大于所述第一深度的第二深度。
以不同于第二蚀刻步骤的功率提供第三蚀刻步骤,其中,所述第三蚀刻步骤在介质层中蚀刻所述特征至大于所述第二深度的第三深度。图5D是在蚀刻层508将特征516蚀刻到比所述第二深度更深的第三深度528后,具有蚀刻层508的衬底504的示意的横截面图。将用于所述RF源的功率设定值进行优化,以在产生由所述特征宽度与所述特征深度之比定义的所需纵横比时,从所述第二深度蚀刻到所述第三深度。为继续以上实例,所述第一频率处于第七功率值,所述第二频率处于第八功率值,所述第三频率处于第九功率值,其中,所述第七、第八和第九功率值中至少有两个大于零,并且,从所述第七功率值不等于所述第四功率值、第八功率值不等于所述第五功率值和所述第九功率值不等于所述第六功率值的组中选取了某一条件,其中,所述第三蚀刻在介质层中蚀刻所述特征至大于所述第二深度的第三深度。所述高纵横比接触件蚀刻不必限于仅仅3步。根据HAR蚀刻的控制需要,可以采用更多或更少的步骤,以控制沿蚀刻过程的轮廓。
对于高纵横比接触件HARC,当接触件蚀刻得更深时,蚀刻的机制会发生变化。例如,随着蚀刻深度的增加,蚀刻速度会降低。此外,弯曲也可能增加,且对掩模的蚀刻选择性会降低。随着蚀刻的进行,使用至少三种频率和改变这些频率的功率值允许将所得的等离子体根据蚀刻深度和所需的轮廓进行调整,从而提供了改进的和经过优化的蚀刻。而这可以通过使用各种频率的不同RF功率和相同的蚀刻反应物的混合物来实现。通常,为了控制沿蚀刻的轮廓,使用了不同的蚀刻反应物的混合物。使用这种方法的原因主要是,它们提供了等离子体中不同的离子种类。相反的,在本发明中,控制等离子体中的粒子组成是通过使用各种频率的RF功率来实现的。
图6A-C总结了蚀刻过程中的具体时间处的蚀刻轮廓和每一步所需的功率条件(在之前的段落中已有陈述)。这些图涉及3个步骤的蚀刻过程,其中d1是步骤S1后的蚀刻深度(如图6A所示),d2是步骤S2后的蚀刻深度(如图6B所示),d3是步骤S3后的蚀刻深度(如图6C所示)。P1至P9是用于使用的功率值的符号,且F1、F2、F3是使用的频率。
表1总结了所述三个步骤中的每一个步骤所需的功率条件。
                       表1
步骤1 步骤2 步骤3
条件 F1_at power_P1F2_at power_P2F3_at power_P3●(P1>0和P3>0)或(P1>0和P2>0) F4_at power_P4F5_at power_P5F6_at power_P6●P4≠P1或P6≠P3或P5≠P1●(P4>0和(P5>0或P6>0))或(P4>0和(P5>0和P6>0)) F7_at power_P7F8_at power_P8F9_at power_P9●P7≠P4或P8≠P5或P9≠P6●(P7>0和P8>0)或(P7>0和P9>0)或(P8>0和P9>0)或(P7>0和P8>0和P9>0)
对于步骤S1,如图6A所示,频率F1设定为功率值P1,频率F2设定为功率值P2,频率F3设定为功率值P3。(P1>0和P3>0)或(P1>0和P2>0)。
对于S2,如图6B所示,频率F1设定为功率值P4,频率F2设定为功率值P5,频率F3设定为功率值P6。P4≠P1或P6≠P3或P5≠P1。(P4>0和(P5>0或P6>0))或(P4>0和(P5>0和P6>0))。
对于S3,如图6C所示,频率F1设定为功率值P7,频率F2设定为功率值P8,频率F3设定为功率值P9。P7≠P4或P8≠P5或P9≠P6(P7>0和P8>0)或(P7>0和P9>0)或(P8>0和P9>0)或(P7>0和P8>0和P9>0)。
为简单起见,详细说明了这种情况,但是这些步骤的组合或排列也是可能的(如让S2代替S3,让S3代替S2,或者甚至将S3排除出去)。同样,取决于蚀刻的难度,可以加入更多的蚀刻步骤,以进行完全的蚀刻过程。交替进行各蚀刻步骤也是可以的,(如在S2后进行S3,并且这两个步骤均进行较短时间,然后又进行S2和S3)。
优选地,所述第—频率处于100KHz到10MHz的范围内。更优选地,所述第一频率约为2MHz。优选地,所述第二频率处于10MHz到35MHz的范围内。更优选地,所述第二频率约为27MHz。优选地,所述第三频率大于40MHz。更优选地,所述第三频率约为60MHz。
图7中示出了蚀刻化学混合物相同和功率值相同时的粒子组成。为每种特定的频率,将每种特定的离子的离子流量规格化为一。第一条曲线604示出了CF3 +在各种频率时在总的离子流量中所占比例。第二条曲线608示出了C2F4 +在各种频率时在总的离子流量中所占比例。第三条曲线612示出了CF+在各种频率时在总的离子流量中所占比例。第四条曲线616示出了C3F5 +在各种频率时在总的离子流量中所占比例。第五条曲线620示出了Ar+在各种频率时在总的离子流量中所占比例。第六条曲线624示出了CHF2 +在各种频率时在总的离子流量中所占比例。第七条曲线628示出了C2F5 +在各种频率时在总的离子流量中所占比例。这张图说明了各种频率是如何影响粒子组成比例的。因此,可以通过在蚀刻过程中改变RF频率来控制等离子体中的粒子组成。
图8A示出了作为相同频率(27MHz)的RF功率的函数的离子能量和分布的变化。图中表示了氢氟碳化合物等离子体中的一种主要离子CHF2 +(具有51个原子单位的质量)的离子能量分布。曲线704示出了当仅将27MHz的、处于200W的功率值的信号提供给等离子体时,离子CHF2 +的离子能量及其分布。曲线708示出了当将27MHz的、处于400W的功率值的信号提供给等离子体时,离子CHF2 +的离子能量及其分布。第三条曲线712示出了当将27MHz的、处于800W的功率值的信号提供给等离子体时,离子CHF2 +的离子能量及其分布。第四条曲线716示出了当将27MHz的、处于1200W的功率值的信号提供给等离子体时,离子CHF2 +的离子能量及其分布。第五条曲线720示出了当将27MHz的、处于1600W的功率值的信号提供给等离子体时,离子CHF2 +的离子能量及其分布。第六条曲线724示出了当将27MHz的、处于2000W的功率值的信号提供给等离子体时,离子CHF2 +的离子能量及其分布。
通过比较,图8B示出了作为用于相同的较高频率(60MHz)的RF功率的函数的相同离子CHF2 +的离子能量及其分布的变化。曲线744示出了当仅将60MHz的、处于100W的功率值的信号提供给等离子体时,离子CHF2 +的离子能量及其分布。曲线748示出了当将60MHz的、处于200W的功率值的信号提供给等离子体时,离子CHF2 +的离子能量及其分布。第三条曲线752示出了当将60MHz的、处于400W的功率值的信号提供给等离子体时,离子CHF2 +的离子能量及其分布。第四条曲线756示出了当将60MHz的、处于800W的功率值的信号提供给等离子体时,离子CHF2 +的离子能量及其分布。第五条曲线760示出了当将60MHz的、处于1100W的功率值的信号提供给等离子体时,离子CHF2 +的离子能量及其分布。
通过比较图8A和图8B,显然,使用某一具体的RF频率(如27MHz)不能得到所述的具体离子能级(如低至80W),但是,可以用较高的RF频率(如60MHz)得到所述的离子能级。总之,通过改变RF频率和功率值,不仅可以改变等离子体中的粒子组成,也可以改变离子能量及其分布。
在蚀刻高纵横比特征时,随着蚀刻的进行,本发明随蚀刻优化了不同的蚀刻条件(如离子与中性粒子之比,离子能量分布)。在HAR接触件蚀刻开始时,可以采用较少侵犯性的蚀刻条件。而这将确保良好的PR(光刻胶)选择性、较少的PR小面,从而导致了更少的弯曲。而这可以通过优先使用较高频率的功率(如60MHz或更高)和让较低的频率(如27MHz和2MHz)处于相对较低的功率值来实现。通过使用这种蚀刻,直到所述蚀刻经过弯曲层(bow level)为止,可以进一步避免弯曲的形成。随着纵横比的增加,所述离子与中性粒子之比也将降低,并且,还将观察到蚀刻和离子能量之间的更为明显的相关性。从而,需要更高的离子能量来进行所述蚀刻。可以通过在蚀刻过程的后期优先使用处于不同功率值的27MHz和2MHz的频率来实现此目的。而这可以通过增加来自27MHz和/或2MHz RF源的功率和减少来自60MHz功率源的功率来实现。增加来自27MHz的RF源的功率也增加了掩模的选择性。而这在蚀刻高纵横比的接触件时是非常有用的。
还发现需要合适数量的选择性来减少弯曲(bowing)。过多或过少的选择性均会增加弯曲。
本发明的实施例中使用的蚀刻气体可以含有氟碳化合物或氢氟碳化合物成分。可以将氩和/或氙和/或任何惰性气体加入到所述蚀刻气体中。氧可以是所述蚀刻气体的另一种成分。
优选地,要蚀刻的层是介质层。更优选地,要蚀刻的层是二氧化硅层。优选地,要蚀刻的层是单一的层。更优选地,要蚀刻的层是单一的均质层。
实例
实例1:在这个实例中,使用基本的C4F8化学成分在氧化物中蚀刻接触件。
所述蚀刻使用由250sccm的Ar、28sccm的C4F8和10sccm的O2组成的蚀刻气体,且所述气体流入到所述室中。室的压力设定为50毫托。第一RF功率源提供了频率为2MHz的第一RF信号。第二功率源提供了频率为27MHz的第二RF信号。第三功率源提供了频率为60MHz的第三RF信号。
在第一蚀刻步骤中,所述第一功率源提供2MHz的2000w功率,所述第二功率源提供27MHz的0w功率,而所述第三功率源提供60MHz的1000w功率。该步骤持续120秒。
在第二蚀刻步骤中,所述第一功率源提供2MHz的1400w功率,所述第二功率源提供27MHz的1400w功率,而所述第三功率源提供60MHz的200w功率。该步骤持续120秒。
所得的特征具有3.0μm的深度和0.18μm的上部CD。因此,所述特征的纵横比为3.0/0.18,即约为16.7。
实例2:在这个实例中,使用基本的C4F6化学成分,在氧化物中蚀刻接触件。
所述蚀刻使用由400sccm的Ar、30sccm的C4F6和24sccm的O2组成的蚀刻气体,且所述气体流入到所述室中。室的压力设定为35毫托。第一RF功率源提供了频率为2MHz的第一RF信号。第二功率源提供了频率为27MHz的第二RF信号。第三功率源提供了频率为60MHz的第三RF信号。
在第一蚀刻步骤中,所述第一功率源提供2MHz的2000w功率,所述第二功率源提供27MHz的200w功率,而所述第三功率源提供60MHz的1000w功率。该步骤持续100秒。
在第二蚀刻步骤中,所述第一功率源提供2MHz的2000w功率,所述第二功率源提供27MHz的600w功率,而所述第三功率源提供60MHz的600w功率。该步骤持续100秒。
在第三蚀刻步骤中,所述第一功率源提供2MHz的1400w功率,所述第二功率源提供27MHz的1500w功率,而所述第三功率源提供60MHz的100w功率。该步骤持续80秒。
所得的特征具有2.6μm的深度和0.16μm的上部CD。因此,所述特征的纵横比为2.6/0.16,即约为16.25。
尽管用几个优选实施例说明了本发明,但是,还存在处于本发明的范围之内的变形、排列、修改和各种替代性的等同物。同样应当注意,存在许多实施本发明的方法和设备的其他方法。因此,本文的意图是将后附的权利要求书理解为包括所有这些处于本发明的真实精神和范围之内的变形、排列、修改和各种替代性的等同物。
权利要求书
(按照条约第19条的修改)
1.一种用于通过掩模在衬底上要蚀刻的层中蚀刻高纵横比特征的方法,包括:
将所述衬底放置于加工室中,该加工室能够提供处于第一频率的、不同于所述第一频率的第二频率的和不同于所述第一和第二频率的第三频率的RF功率;
将蚀刻气体引入到所述加工室;
提供第一蚀刻步骤,其中,所述第一频率处于第一功率值,所述第二频率处于第二功率值,所述第三频率处于第三功率值,其中,所述第一功率值和第二与第三功率值中至少一个大于零,且所述第一蚀刻在要蚀刻的层中蚀刻所述特征至第一深度;以及
提供第二蚀刻步骤,其中,所述第一频率处于第四功率值,所述第二频率处于第五功率值,所述第三频率处于第六功率值,其中,所述第四与第六功率值中至少一个大于零,且所述第五功率值大于零,其中,从所述第一功率值不等于所述第四功率值和所述第三功率值不等于所述第六功率值的组中选取某一条件,其中,所述第二蚀刻在要蚀刻的层中蚀刻所述特征至大于所述第一深度的第二深度。
2.如权利要求1中所述的方法,其中,要蚀刻的层是介质层。
3.如权利要求2中所述的方法,其中,所述介质层是单一层。
4.如权利要求3中所述的方法,其中,所述的单一层是均匀层。
5.如权利要求1-4中所述的方法,还包括第三蚀刻步骤,其中,所述第七、第八和第九功率值中至少两个大于零,且从所述第七功率值不等于所述第四功率值、所述第八功率值不等于所述第五功率值、所述第九功率值不等于所述第六功率值的组中选取了某一条件,其中,所述第三蚀刻在所述介质层中蚀刻所述特征至大于所述第二深度的第三深度。
6.如权利要求1-5中所述的方法,其中,所述第一频率处于100KHz和10MHz之间,所述第二频率处于10MHz和约35MHz之间,且所述第三频率大于40MHz。
7.如权利要求1-5中所述的方法,其中,所述第一频率约为2MHz,所述第二频率约为27MHz,且所述第三频率约为60MHz。
8.如权利要求1-7中所述的方法,其中,所述蚀刻气体包括从碳氟化合物和碳氢氟化合物的组中选出的一种成分气体。
9.一种以权利要求1中所述的方法形成的半导体装置。
10.一种用于在衬底上的介质层中蚀刻高纵横比特征的方法,包括:
将所述衬底放置在加工室中,该加工室能够提供处于第一频率的、不同于所述第一频率的第二频率的和不同于所述第一和第二频率的第三频率的RF功率;
将蚀刻气体引入到所述加工室;
提供第一蚀刻步骤,用所述第一频率、所述第二频率和所述第三频率在所述蚀刻层上蚀刻所述特征至第一深度;
提供第二蚀刻步骤,用所述第一频率、所述第二频率和所述第三频率,且至少其中一个频率处于与第一蚀刻步骤中使用的频率不同的功率值,在所述蚀刻层上蚀刻所述特征至比所述第一深度大的第二深度;
提供第三蚀刻步骤,用所述第一频率、所述第二频率和所述第三频率,且至少其中一个频率处于与第二蚀刻步骤中使用的频率不同的功率值,以在所述蚀刻层上蚀刻所述特征至比所述第二深度大的第三深度。
11.如权利要求10中所述的方法,其中,要蚀刻的层是介质层。
12.如权利要求11中所述的方法,其中,所述介质层是单一层。
13.如权利要求12中所述的方法,其中,所述单一层是均匀层。
14.如权利要求10-13中所述的方法,其中,所述第一频率处于100KHz与10MHz之间,所述第二频率处于10MHz与约35MHz之间,且所述第三频率大于40MHz。
15.一种用于通过掩模在衬底上的蚀刻层中蚀刻特征的设备,包括:
等离子体加工室,该室包括:
形成等离子体加工室外壳的室壁;
所述等离子体加工室外壳内的用于支持衬底的衬底支撑;
用于调节所述等离子体加工室外壳中的压力的压力调节器;
为所述等离子体加工室外壳供电以维持等离子体的至少一个电极;
用于将气体引入所述等离子体加工室外壳的气体入口;以及
用于将气体从所述等离子体加工室外壳中排放出去的气体出口;
与所述气体入口之间能流动气体的气源;
第一功率源,它用于在所述室壁内提供处于第一频率的功率;
第二功率源,它用于在所述室壁内提供处于不同于所述第一频率的第二频率的功率;
第三功率源,它用于在所述室壁内提供处于不同于所述第一频率和第二频率的第三频率的功率;
可控地连接到所述气体入口、第一功率源、第二功率源和第三功率源的控制器,该控制器包括:
至少一个处理器;以及
计算机可读介质,包括:
用于通过所述气体入口引入蚀刻气体的计算机可读代码;
用于执行第一蚀刻步骤的计算机可读代码,该步骤包括:
提供来自所述第一功率源的处于第一功率值的能量;
提供来自所述第二功率源处于第二功率值的的能量;
提供来自所述第三功率源的处于第三功率值的能量;其中,所述第一功率值和第三功率值大于零,且用所述第一蚀刻来在要蚀刻的层上蚀刻所述特征至第一深度;以及
用于执行第二蚀刻步骤的计算机可读代码,该步骤包括:
提供来自所述第一功率源的处于第四功率值的能量;
提供来自所述第二功率源的处于第五功率值的能量;
提供来自所述第三功率源的处于第六功率值的能量;其中,所述第一功率值和第三功率值大于零,且用所述第一蚀刻来在将要蚀刻的层上蚀刻所述特征至第一深度;其中,所述第四和第六功率值中至少有一个大于零,且所述第五功率值大于零,并从第一功率值不等于第四功率值和第三功率值不等于第六功率值的组中选取了一个条件,其中,所述第二蚀刻在要蚀刻的层中蚀刻所述特征至大于所述第一深度的第二深度。
16.如权利要求15所述的设备,其中所述第一频率处于100KHz与10MHz之间,所述第二频率处于10MHz与约35MHz之间,且所述第三频率大于40MHz。

Claims (18)

1.一种用于通过掩模在衬底上要蚀刻的层中蚀刻高纵横比特征的方法,包括:
将所述衬底放置于加工室中,该加工室能够提供处于第一频率的、不同于所述第一频率的第二频率的和不同于所述第一和第二频率的第三频率的RF功率;
将蚀刻气体引入到所述加工室;
提供第一蚀刻步骤,其中,所述第一频率处于第一功率值,所述第二频率处于第二功率值,所述第三频率处于第三功率值,其中,所述第一功率值和第二与第三功率值中至少一个大于零,且所述第一蚀刻在要蚀刻的层中蚀刻所述特征至第一深度;以及
提供第二蚀刻步骤,其中,所述第一频率处于第四功率值,所述第二频率处于第五功率值,所述第三频率处于第六功率值,其中,所述第四与第六功率值中至少一个大于零,且所述第五功率值大于零,其中,从所述第一功率值不等于所述第四功率值和所述第三功率值不等于所述第六功率值的组中选取某一条件,其中,所述第二蚀刻在要蚀刻的层中蚀刻所述特征至大于所述第一深度的第二深度。
2.如权利要求1中所述的方法,其中,要蚀刻的层是介质层。
3.如权利要求2中所述的方法,其中,所述介质层是单一层。
4.如权利要求3中所述的方法,其中,所述的单一层是均匀层。
5.如权利要求4中所述的方法,还包括第三蚀刻步骤,其中,所述第七、第八和第九功率值中至少两个大于零,且从所述第七功率值不等于所述第四功率值、所述第八功率值不等于所述第五功率值、所述第九功率值不等于所述第六功率值的组中选取了某一条件,其中,所述第三蚀刻在所述介质层中蚀刻所述特征至大于所述第二深度的第三深度。
6.如权利要求5中所述的方法,其中,所述第一频率处于100KHz和10MHz之间,所述第二频率处于10MHz和约35MHz之间,且所述第三频率大于40MHz。
7.如权利要求5中所述的方法,其中,所述第一频率约为2MHz,所述第二频率约为27MHz,且所述第三频率约为60MHz。
8.如权利要求5中所述的方法,其中,所述蚀刻气体包括从碳氟化合物和碳氢氟化合物的组中选出的一种成分气体。
9.如权利要求1中所述的方法,还包括第三蚀刻步骤,其中,所述第七、第八和第九功率值中至少两个大于零,且从所述第七功率值不等于所述第四功率值、所述第八功率值不等于所述第五功率值、所述第九功率值不等于所述第六功率值的组中选取了某一条件,其中,所述第三蚀刻在所述介质层中蚀刻所述特征至大于所述第二深度的第三深度。
10.如权利要求9中所述的方法,其中,所述第一频率处于100KHz和10MHz之间,所述第二频率处于10MHz与约35MHz之间,且所述第三频率大于40MHz。
11.一种以权利要求1中所述的方法形成的半导体装置。
12.一种用于在衬底上的介质层中蚀刻高纵横比特征的方法,包括:
将所述衬底放置在加工室中,该加工室能够提供处于第一频率的、不同于所述第一频率的第二频率的和不同于所述第一和第二频率的第三频率的RF功率;
将蚀刻气体引入到所述加工室;
提供第一蚀刻步骤,用所述第一频率、所述第二频率和所述第三频率在所述蚀刻层上蚀刻所述特征至第一深度;
提供第二蚀刻步骤,用所述第一频率、所述第二频率和所述第三频率,且至少其中一个频率处于与第一蚀刻步骤中使用的频率不同的功率值,在所述蚀刻层上蚀刻所述特征至比所述第一深度大的第二深度;
提供第三蚀刻步骤,用所述第一频率、所述第二频率和所述第三频率,且至少其中一个频率处于与第二蚀刻步骤中使用的频率不同的功率值,以在所述蚀刻层上蚀刻所述特征至比所述第二深度大的第三深度。
13.如权利要求12中所述的方法,其中,要蚀刻的层是介质层。
14.如权利要求13中所述的方法,其中,所述介质层是单一层。
15.如权利要求14中所述的方法,其中,所述单一层是均匀层。
16.如权利要求12中所述的方法,其中,所述第一频率处于100KHz与10MHz之间,所述第二频率处于10MHz与约35MHz之间,且所述第三频率大于40MHz。
17.一种用于通过掩模在衬底上的蚀刻层中蚀刻特征的设备,包括:
等离子体加工室,该室包括:
形成等离子体加工室外壳的室壁;
所述等离子体加工室外壳内的用于支持衬底的衬底支撑;
用于调节所述等离子体加工室外壳中的压力的压力调节器;
为所述等离子体加工室外壳供电以维持等离子体的至少一个电极;
用于将气体引入所述等离子体加工室外壳的气体入口;以及
用于将气体从所述等离子体加工室外壳中排放出去的气体出口;
与所述气体入口之间能流动气体的气源;
第一功率源,它用于在所述室壁内提供处于第一频率的功率;
第二功率源,它用于在所述室壁内提供处于不同于所述第一频率的第二频率的功率;
第三功率源,它用于在所述室壁内提供处于不同于所述第一频率和第二频率的第三频率的功率;
可控地连接到所述气体入口、第一功率源、第二功率源和第三功率源的控制器,该控制器包括:
至少一个处理器;以及
计算机可读介质,包括:
用于通过所述气体入口引入蚀刻气体的计算机可读代码;
用于执行第一蚀刻步骤的计算机可读代码,该步骤包括:
提供来自所述第一功率源的处于第一功率值的能量;
提供来自所述第二功率源处于第二功率值的的能量;
提供来自所述第三功率源的处于第三功率值的能量;其中,所述第一功率值和第三功率值大于零,且用所述第一蚀刻来在要蚀刻的层上蚀刻所述特征至第一深度;以及
用于执行第二蚀刻步骤的计算机可读代码,该步骤包括:
提供来自所述第一功率源的处于第四功率值的能量;
提供来自所述第二功率源的处于第五功率值的能量;
提供来自所述第三功率源的处于第六功率值的能量;其中,所述第一功率值和第三功率值大于零,且用所述第一蚀刻来在将要蚀刻的层上蚀刻所述特征至第一深度;其中,所述第四和第六功率值中至少有一个大于零,且所述第五功率值大于零,并从第一功率值不等于第四功率值和第三功率值不等于第六功率值的组中选取了一个条件,其中,所述第二蚀刻在要蚀刻的层中蚀刻所述特征至大于所述第一深度的第二深度。
18.如权利要求17所述的设备,其中所述第一频率处于100KHz与10MHz之间,所述第二频率处于10MHz与约35MHz之间,且所述第三频率大于40MHz。
CN200480030884XA 2003-08-22 2004-08-06 采用不同频率的rf功率调制的高纵横比蚀刻 Active CN1871695B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10/645,665 US7405521B2 (en) 2003-08-22 2003-08-22 Multiple frequency plasma processor method and apparatus
US10/645,665 2003-08-22
US10/737,022 US7144521B2 (en) 2003-08-22 2003-12-15 High aspect ratio etch using modulation of RF powers of various frequencies
US10/737,022 2003-12-15
PCT/US2004/025406 WO2005022623A1 (en) 2003-08-22 2004-08-06 High aspect ratio etch using modulation of rf powers of various frequencies

Publications (2)

Publication Number Publication Date
CN1871695A true CN1871695A (zh) 2006-11-29
CN1871695B CN1871695B (zh) 2010-12-29

Family

ID=34194363

Family Applications (3)

Application Number Title Priority Date Filing Date
CN200480030884XA Active CN1871695B (zh) 2003-08-22 2004-08-06 采用不同频率的rf功率调制的高纵横比蚀刻
CNB2004800239430A Active CN100511600C (zh) 2003-08-22 2004-08-20 多频等离子体刻蚀反应器
CN2009101589474A Active CN101656200B (zh) 2003-08-22 2004-08-20 多频等离子体刻蚀反应器

Family Applications After (2)

Application Number Title Priority Date Filing Date
CNB2004800239430A Active CN100511600C (zh) 2003-08-22 2004-08-20 多频等离子体刻蚀反应器
CN2009101589474A Active CN101656200B (zh) 2003-08-22 2004-08-20 多频等离子体刻蚀反应器

Country Status (8)

Country Link
US (1) US7405521B2 (zh)
EP (2) EP2533268B1 (zh)
JP (2) JP5265871B2 (zh)
KR (1) KR101322552B1 (zh)
CN (3) CN1871695B (zh)
SG (1) SG133600A1 (zh)
TW (1) TWI390583B (zh)
WO (1) WO2005020264A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7879731B2 (en) 2007-01-30 2011-02-01 Applied Materials, Inc. Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
US7884025B2 (en) 2007-01-30 2011-02-08 Applied Materials, Inc. Plasma process uniformity across a wafer by apportioning ground return path impedances among plural VHF sources
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
CN102446739A (zh) * 2008-03-21 2012-05-09 应用材料公司 基材蚀刻系统与制程的方法及设备
WO2013159433A1 (zh) * 2012-04-28 2013-10-31 北京工业大学 一种利用调频的方式改善高频放电等离子体均匀性的方法
CN109075065A (zh) * 2016-04-28 2018-12-21 东京毅力科创株式会社 等离子体处理装置
CN110379701A (zh) * 2019-07-24 2019-10-25 沈阳拓荆科技有限公司 具有可调射频组件的晶圆支撑座

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
KR100539266B1 (ko) * 2004-06-02 2005-12-27 삼성전자주식회사 호 절편 형태의 한정부를 가지는 플라즈마 공정 장비
US20060278339A1 (en) * 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
CN100452945C (zh) * 2007-06-20 2009-01-14 中微半导体设备(上海)有限公司 包含多个处理平台的去耦合反应离子刻蚀室
CN100362619C (zh) * 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
US8608851B2 (en) * 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7764140B2 (en) * 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US8674255B1 (en) * 2005-12-08 2014-03-18 Lam Research Corporation Apparatus and method for controlling etch uniformity
US7683289B2 (en) * 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US7740736B2 (en) * 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US20080119055A1 (en) * 2006-11-21 2008-05-22 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
US8222156B2 (en) * 2006-12-29 2012-07-17 Lam Research Corporation Method and apparatus for processing a substrate using plasma
US8137501B2 (en) * 2007-02-08 2012-03-20 Lam Research Corporation Bevel clean device
US8375890B2 (en) 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
JP5199595B2 (ja) * 2007-03-27 2013-05-15 東京エレクトロン株式会社 プラズマ処理装置及びそのクリーニング方法
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
JP5514413B2 (ja) * 2007-08-17 2014-06-04 東京エレクトロン株式会社 プラズマエッチング方法
KR100906701B1 (ko) 2007-12-20 2009-07-07 주식회사 디엠에스 기판에 식각 영역을 만들기 위한 장치
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US7811410B2 (en) * 2008-06-19 2010-10-12 Lam Research Corporation Matching circuit for a complex radio frequency (RF) waveform
US20100015357A1 (en) * 2008-07-18 2010-01-21 Hiroji Hanawa Capacitively coupled plasma etch chamber with multiple rf feeds
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
KR101106480B1 (ko) * 2009-06-12 2012-01-20 한국철강 주식회사 광기전력 장치의 제조 방법
US9275838B2 (en) 2009-09-02 2016-03-01 Lam Research Corporation Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
CN103648230A (zh) * 2010-03-23 2014-03-19 中微半导体设备(上海)有限公司 可切换的射频功率源系统
JP5916056B2 (ja) * 2010-08-23 2016-05-11 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN102438389B (zh) * 2010-09-29 2013-06-05 中微半导体设备(上海)有限公司 单一匹配网络、其构建方法和该匹配网络射频功率源系统
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9111728B2 (en) 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US20120258555A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode and Systems Implementing the Same
CN102983051B (zh) * 2011-09-05 2015-06-24 中微半导体设备(上海)有限公司 可调节等离子体浓度分布的等离子处理装置及其处理方法
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9171699B2 (en) * 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US20130220975A1 (en) * 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US9881772B2 (en) * 2012-03-28 2018-01-30 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning
TWI599272B (zh) * 2012-09-14 2017-09-11 蘭姆研究公司 根據三個或更多狀態之功率及頻率調整
CN103903945B (zh) * 2012-12-24 2016-04-20 中微半导体设备(上海)有限公司 一种稳定脉冲射频的方法
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
JP6400276B2 (ja) * 2013-03-29 2018-10-03 株式会社ダイヘン 高周波電源装置
US9336995B2 (en) * 2013-04-26 2016-05-10 Mks Instruments, Inc. Multiple radio frequency power supply control of frequency and phase
CN103247504B (zh) * 2013-05-24 2015-11-18 无锡启晖光电科技有限公司 一种双频离子源
US9460894B2 (en) * 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
CN104733275B (zh) * 2013-12-19 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体工艺设备
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US9133546B1 (en) 2014-03-05 2015-09-15 Lotus Applied Technology, Llc Electrically- and chemically-active adlayers for plasma electrodes
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US10153133B2 (en) * 2015-03-23 2018-12-11 Applied Materials, Inc. Plasma reactor having digital control over rotation frequency of a microwave field with direct up-conversion
US10153139B2 (en) * 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US10373794B2 (en) * 2015-10-29 2019-08-06 Lam Research Corporation Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber
TWI651753B (zh) 2016-01-20 2019-02-21 日商東京威力科創股份有限公司 用以蝕刻高深寬比特徵部之功率調變的方法
US10622217B2 (en) 2016-02-04 2020-04-14 Samsung Electronics Co., Ltd. Method of plasma etching and method of fabricating semiconductor device using the same
JP6817889B2 (ja) 2016-05-10 2021-01-20 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9859101B2 (en) 2016-05-10 2018-01-02 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
CN109478489B (zh) * 2016-07-14 2021-08-10 东京毅力科创株式会社 用于多区域电极阵列中的rf功率分配的方法
KR101842127B1 (ko) 2016-07-29 2018-03-27 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
CN108206143B (zh) * 2016-12-16 2020-09-25 中微半导体设备(上海)股份有限公司 一种等离子处理器、刻蚀均匀性调节系统及方法
US10395896B2 (en) 2017-03-03 2019-08-27 Applied Materials, Inc. Method and apparatus for ion energy distribution manipulation for plasma processing chambers that allows ion energy boosting through amplitude modulation
US10790121B2 (en) 2017-04-07 2020-09-29 Applied Materials, Inc. Plasma density control on substrate edge
US10002746B1 (en) * 2017-09-13 2018-06-19 Lam Research Corporation Multi regime plasma wafer processing to increase directionality of ions
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
WO2020014113A1 (en) * 2018-07-09 2020-01-16 Lam Research Corporation Radio frequency (rf) signal source supplying rf plasma generator and remote plasma generator
CN220612288U (zh) 2022-06-03 2024-03-19 维苏威集团有限公司 用于施加干颗粒材料形式的内衬组合物的装置

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0798521B2 (ja) * 1986-08-20 1995-10-25 澁谷工業株式会社 回転式重量充填装置
DE3733135C1 (de) * 1987-10-01 1988-09-22 Leybold Ag Vorrichtung zum Beschichten oder AEtzen mittels eines Plasmas
EP0343500B1 (en) * 1988-05-23 1994-01-19 Nippon Telegraph And Telephone Corporation Plasma etching apparatus
JPH04157721A (ja) * 1990-10-22 1992-05-29 Sumitomo Metal Ind Ltd プラズマエッチング方法
US5688330A (en) * 1992-05-13 1997-11-18 Ohmi; Tadahiro Process apparatus
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5716534A (en) * 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
US5716485A (en) * 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JP3585591B2 (ja) * 1995-07-29 2004-11-04 株式会社半導体エネルギー研究所 エッチング装置及びエッチング方法
KR100226366B1 (ko) 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
TW279240B (en) * 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
JPH0982495A (ja) * 1995-09-18 1997-03-28 Toshiba Corp プラズマ生成装置およびプラズマ生成方法
US5626716A (en) * 1995-09-29 1997-05-06 Lam Research Corporation Plasma etching of semiconductors
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
JP3499104B2 (ja) * 1996-03-01 2004-02-23 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5982099A (en) * 1996-03-29 1999-11-09 Lam Research Corporation Method of and apparatus for igniting a plasma in an r.f. plasma processor
JPH1012597A (ja) * 1996-06-20 1998-01-16 Hitachi Ltd プラズマエッチング装置及びプラズマエッチング方法
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JPH10172792A (ja) * 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
JPH10214822A (ja) * 1997-01-30 1998-08-11 Nec Corp プラズマエッチング装置およびエッチング方法
JPH10251849A (ja) * 1997-03-07 1998-09-22 Tadahiro Omi スパッタリング装置
US6127278A (en) * 1997-06-02 2000-10-03 Applied Materials, Inc. Etch process for forming high aspect ratio trenched in silicon
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6126778A (en) * 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
JP4066214B2 (ja) * 1998-07-24 2008-03-26 財団法人国際科学振興財団 プラズマプロセス装置
US6849154B2 (en) * 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000223480A (ja) * 1998-11-27 2000-08-11 Tokyo Electron Ltd プラズマエッチング装置
JP2000269196A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
JP3704023B2 (ja) * 1999-04-28 2005-10-05 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP4831853B2 (ja) * 1999-05-11 2011-12-07 東京エレクトロン株式会社 容量結合型平行平板プラズマエッチング装置およびそれを用いたプラズマエッチング方法
US6303510B1 (en) * 1999-06-21 2001-10-16 Taiwan Semiconductor Manufacturing Company Plasma etch method with attenuated patterned layer charging
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
JP3953247B2 (ja) * 2000-01-11 2007-08-08 株式会社日立国際電気 プラズマ処理装置
JP3411539B2 (ja) * 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US6528751B1 (en) * 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
JP4514911B2 (ja) * 2000-07-19 2010-07-28 東京エレクトロン株式会社 プラズマ処理装置
JP2002110647A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
US6727655B2 (en) * 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP3977114B2 (ja) * 2002-03-25 2007-09-19 株式会社ルネサステクノロジ プラズマ処理装置
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP3993493B2 (ja) * 2002-09-09 2007-10-17 株式会社日立製作所 プラズマエッチング装置
US7625460B2 (en) * 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7879731B2 (en) 2007-01-30 2011-02-01 Applied Materials, Inc. Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
US7884025B2 (en) 2007-01-30 2011-02-08 Applied Materials, Inc. Plasma process uniformity across a wafer by apportioning ground return path impedances among plural VHF sources
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US8076247B2 (en) 2007-01-30 2011-12-13 Applied Materials, Inc. Plasma process uniformity across a wafer by controlling RF phase between opposing electrodes
US8080479B2 (en) 2007-01-30 2011-12-20 Applied Materials, Inc. Plasma process uniformity across a wafer by controlling a variable frequency coupled to a harmonic resonator
CN101242702B (zh) * 2007-01-30 2013-01-16 应用材料公司 具有采用vhf源的离子分布均匀性控制器的等离子体反应器
CN102446739A (zh) * 2008-03-21 2012-05-09 应用材料公司 基材蚀刻系统与制程的方法及设备
CN102446739B (zh) * 2008-03-21 2016-01-20 应用材料公司 基材蚀刻系统与制程的方法及设备
WO2013159433A1 (zh) * 2012-04-28 2013-10-31 北京工业大学 一种利用调频的方式改善高频放电等离子体均匀性的方法
CN109075065A (zh) * 2016-04-28 2018-12-21 东京毅力科创株式会社 等离子体处理装置
CN110379701A (zh) * 2019-07-24 2019-10-25 沈阳拓荆科技有限公司 具有可调射频组件的晶圆支撑座

Also Published As

Publication number Publication date
KR101322552B1 (ko) 2013-10-25
JP5265871B2 (ja) 2013-08-14
TW200520012A (en) 2005-06-16
EP2533268A1 (en) 2012-12-12
US7405521B2 (en) 2008-07-29
TWI390583B (zh) 2013-03-21
WO2005020264A3 (en) 2006-03-23
EP1661171A2 (en) 2006-05-31
EP1661171A4 (en) 2009-03-11
CN1871695B (zh) 2010-12-29
EP2533268B1 (en) 2014-03-26
SG133600A1 (en) 2007-07-30
KR20060123064A (ko) 2006-12-01
CN1973364A (zh) 2007-05-30
US20050039682A1 (en) 2005-02-24
JP2007503724A (ja) 2007-02-22
CN100511600C (zh) 2009-07-08
JP2012015534A (ja) 2012-01-19
CN101656200A (zh) 2010-02-24
CN101656200B (zh) 2012-06-13
WO2005020264A2 (en) 2005-03-03

Similar Documents

Publication Publication Date Title
CN1871695A (zh) 采用不同频率的rf功率调制的高纵横比蚀刻
JP5444277B2 (ja) フィーチャをエッチングする方法、および装置
US8790489B2 (en) Substrate processing apparatus and substrate processing method
JP4794449B2 (ja) ナローギャップ容量結合リアクタのrfパルシング技術
CN106128931B (zh) 双室结构的脉冲等离子体室
JP4751446B2 (ja) 閉じ込めリングを含むプラズマリアクタ及びプラズマリアクタの使用方法
TWI595528B (zh) 電漿處理方法
CN1842242A (zh) 等离子体处理装置和等离子体处理方法
JP2012524994A (ja) 高アスペクト比誘電体エッチングのための方法及び装置
JP2009239012A (ja) プラズマ処理装置及びプラズマエッチング方法
SG192332A1 (en) Etch with increased mask selectivity
WO2019138654A1 (ja) プラズマ処理装置及びプラズマ処理方法
KR20160047457A (ko) 에칭 방법
JPH11283940A (ja) プラズマ処理方法
KR102122203B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
JP4865951B2 (ja) プラズマエッチング方法
CN111937114A (zh) 用于在加工等离子体时控制离子能量分布的装置和方法
US20220375731A1 (en) Substrate support, plasma processing apparatus, and plasma processing method
KR20020031997A (ko) 고밀도 플라즈마 산화막 식각 장치
US20170069497A1 (en) Plasma etching method
JP2000357681A (ja) 半導体試料の処理装置及び処理方法
KR20230063007A (ko) 기판 처리 방법
KR20080073416A (ko) 플라즈마 식각 장치
JPH08162292A (ja) プラズマ処理装置及びその制御方法
JP2007251044A (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant