JP4794449B2 - ナローギャップ容量結合リアクタのrfパルシング技術 - Google Patents

ナローギャップ容量結合リアクタのrfパルシング技術 Download PDF

Info

Publication number
JP4794449B2
JP4794449B2 JP2006532543A JP2006532543A JP4794449B2 JP 4794449 B2 JP4794449 B2 JP 4794449B2 JP 2006532543 A JP2006532543 A JP 2006532543A JP 2006532543 A JP2006532543 A JP 2006532543A JP 4794449 B2 JP4794449 B2 JP 4794449B2
Authority
JP
Japan
Prior art keywords
electrode
frequency
frequency power
wafer
khz
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006532543A
Other languages
English (en)
Other versions
JP2007501530A (ja
JP2007501530A5 (ja
Inventor
ローウェンハルト・ピーター
スリニバサン・ムクンド
フィッシャー・アンドレアス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2007501530A publication Critical patent/JP2007501530A/ja
Publication of JP2007501530A5 publication Critical patent/JP2007501530A5/ja
Application granted granted Critical
Publication of JP4794449B2 publication Critical patent/JP4794449B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Apparatus For Radiation Diagnosis (AREA)
  • Magnetic Resonance Imaging Apparatus (AREA)

Description

本発明は、基板上のレイヤをエッチングすることによって半導体ウェーハ上に構造を提供する方法および装置に関する。
半導体プラズマエッチングの応用例において、プラズマエッチャは、マスクパターンをウェーハ上の所望の薄膜および/または薄膜積層体(filmstack、フィルムスタック)(導体または誘電体絶縁物)の回路およびラインパターンに転写するのにふつう用いられる。これは、マスクパターンの開放された領域におけるマスク材料の下の薄膜(および薄膜積層体)をエッチングして除去することによって達成される。このエッチング反応は、リアクタまたはプロセスチャンバとも呼ばれる真空容器中に含まれる反応物質混合物からプラズマを発生することによって、化学的に活性な種および電気的に帯電した粒子(イオン)によって開始されえる。加えて、このイオンは、ガス混合物およびウェーハ材料の間に作られた電界を通してウェーハ材料に向かって加速されえ、異方性エッチングと呼ばれるやり方で、イオンの軌跡の向きに沿ったエッチング材料の方向性除去を行う。エッチングシーケンスの最後に、マスキング材料は、それらを剥離することによって除去されえ、その場所には元々意図されたマスクパターンの水平パターンのレプリカが残る。
誘導的に結合されたプラズマ装置において、パルス化された、または変調されたRF電源を用いることが知られている。そのようなパルス化は、パルスのオフ部分のあいだに電子温度を急激に下げることを許し、それは平均電子温度を下げる。RFオフ期間のあいだ、電子温度は急激に下がるとき、プラズマイオン密度はずっと遅い速度で減少するが、これはイオンが電子より質量が大きいために、電子より遅く移動するからである。したがって、このプロセスは、平均電子温度を大きく下げえるが、一方、平均プラズマ密度をほぼ変えずに維持する。これは電子シェーディング効果を低減し、半導体デバイスの微細形状に対する電子ダメージを低減しえる。そのようなプロセスは、単一のRF周波数をパルス化しえる。
前述のことを達成するために、本発明の目的によれば、ウェーハ上のレイヤのプラズマエッチングを行う装置が提供される。容量的に結合されたプロセスチャンバが提供される。ガス源は、前記容量的に結合されたプロセスチャンバに流体的に連通する。前記プロセスチャンバ内に第1電極が提供される。前記第1電極から間隔が置かれ対向する第2電極が提供される。第1高周波電源は、前記第1および第2電極のうちの少なくとも1つに電気的に接続され、前記第1高周波電源は150kHzおよび10MHzの間の高周波電力を供給する。第2高周波電源は、前記第1および第2電極のうちの少なくとも1つに電気的に接続され、前記第2高周波電源は12MHzおよび200MHzの間の高周波電力を供給する。第1変調制御器は、前記第1高周波電源に接続され、1kHzから100kHzの間の周波数において前記第1高周波電源の制御された変調を提供する。
本発明の他の実施形態において、ウェーハ上のレイヤのプラズマエッチングを行う装置が提供される。容量的に結合されたプロセスチャンバが提供される。ガス源は、前記容量的に結合されたプロセスチャンバに流体的に連通する。前記プロセスチャンバ内に第1電極が提供される。前記第1電極から間隔が置かれ対向する第2電極であって、前記第2電極は前記第1電極からギャップを形成するよう離れて置かれ、前記ウェーハは、前記第1および第2電極の間にマウント可能であり、ウェーハの直径のギャップサイズに対する比は6:1から60:1の間である、第2電極が提供される。第1周波数における電力信号を供給する第1高周波電源は、前記第1および第2電極のうちの少なくとも1つに電気的に接続される。第2周波数における電力信号を供給する第2高周波電源は、前記第1および第2電極のうちの少なくとも1つに電気的に接続され、前記第1高周波は前記第2高周波と異なる。1kHzから100kHzの間の周波数において前記第1高周波電源の制御された変調を提供する第1変調制御器は、前記第1高周波電源に接続される。1kHzから100kHzの間の周波数において前記第2高周波電源の制御された変調を提供する第2変調制御器は、前記第2高周波電源に接続される。
本発明の他の実施形態において、ウェーハ上のレイヤをエッチングする方法が提供される。容量的に結合されたプロセスチャンバ内に前記ウェーハが置かれる。前記プロセスチャンバ内にエッチングガスが供給される。前記プロセスチャンバ内に容量的に結合された第1高周波信号が供給される。前記第1高周波信号が変調される。前記プロセスチャンバ内に容量的に結合された第2高周波信号が供給される。前記第2高周波信号が変調される。
本発明のこれらおよび他の特徴は、本発明の詳細な説明において、添付の図を参照して以下により詳細に説明される。
本発明は、添付図面の図中で限定によってではなく例示によって示され、同様の番号は同様の要素を示す。
本発明は、添付の図面に示されるように、そのいくつかの好ましい実施形態を参照して詳細に説明される。以下の記載において、本発明の完全な理解を提供するために多くの具体的な詳細が述べられる。しかし当業者には、本発明はこれら具体的な詳細の一部または全てがなくても実施できることが明らかだろう。他の場合には、本発明の趣旨を不必要にぼかさないために、よく知られたプロセスステップおよび/または構成は詳細に記載されていない。
エッチングプロセスのあいだ、エッチャント混合物ガスは、さまざまな種に解離する。例えばC48およびO2の化学反応を用いれば、C48はエッチングプラズマ中でCF2 +およびF+のイオンに解離しえる。F+は、フォトレジストをエッチングする傾向にありえる。したがってエッチング選択性を増すためには、C48を解離させてより多くのCF2 +およびより少ないF+を生むようにすることが望ましいかもしれない。したがって、プラズマ中で発生する、結果として生じる種の比を制御することができることが望ましい。
図1は、本発明の好ましい実施形態において用いられえる容量性結合されたプロセスチャンバ100の概略図である。この実施形態において、プラズマ処理チャンバ100は、閉じ込めリング102、上側電極104、下側電極108、ガス源110、および排気ポンプ120を備える。プラズマ処理チャンバ100内で、基板ウェーハ180は、下側電極108上に配置される。下側電極108は、基板ウェーハ180を保持するための適切な基板チャッキングメカニズム(例えば静電、機械的クランピングなど)を組み込んでいる。プロセスチャンバ上部128は、下側電極108にすぐに対向して配置される上側電極108を組み込んでいる。上側電極104、下側電極108、および閉じ込めリング102は、閉じ込めプラズマ空間(confined plasma volume)140を定義する。ガスは、この閉じ込めプラズマ空間にガス源110によってガス吸気口143を通して供給され、閉じ込めプラズマ空間から排気ポンプ120によって閉じ込めリング102および排気口を通して排気される。排気ポンプ120は、プラズマ処理チャンバのガス排気口を形成する。
第1RF源144および第2RF源148は、下部電極108に電気的に接続される。第1RF源144は、150kHzから10MHzの間の周波数を持つ高周波電力を供給する。好ましくは、この周波数は約2MHzである。第2RF源148は、12MHzおよび200MHzの間の周波数を持つ高周波電力を供給する。好ましくは、この周波数は約27MHzである。好ましくは、第2RF源148からの周波数は、第1RF源144からの周波数の少なくとも10倍である。第1パルス変調器133は、第1RF源144に制御可能に接続される。第1パルス変調器133は、第1RF源信号を1kHzから100kHzの間の周波数において変調することができる。第2パルス変調器135は、第2RF源148に制御可能に接続される。第2パルス変調器135は、第2RF源信号を1kHzから100kHzの間の周波数において変調することができる。この実施形態において、上側電極104は接地されている。コントローラ137は、第1パルス変調器133、第2パルス変調器135、排気ポンプ120、およびガス源110に制御可能に接続されえる。コントローラ137は、第1および第2RF源144、148のような他の装置にも制御可能に接続されえる。チャンバ壁152は、閉じ込めリング102、上側電源104、および下側電極108が配置されるプラズマ容器を定義する。
好ましい実施形態において、300mmウェーハを処理するために、上側および下側電極104、108の間のギャップは、約2cmである。したがって、この実施形態において、下部電極108の直径にほぼ等しい、処理されるべきウェーハ180の直径の、上側電極および下側電極間の距離に対するアスペクト比は、300mm:2cm、すなわち15:1である。好ましくは、処理されるウェーハの直径および電極ギャップの間のアスペクト比は、6:1から60:1の間である。より好ましくは、アスペクト比は、10:1から40:1の間である。その結果、このプロセスチャンバは、電極間に非常に狭いギャップを有する。このようなアスペクト比は、シース(sheath)がバルクプラズマの実質的にほんの一部であることを可能にするギャップを用いる。好ましくは、上側電極および下側電極間のギャップは8cm未満である。より好ましくは、上側電極および下側電極間のギャップは、約0.5および4cmの間である。最も好ましくは、上側電極および下側電極間のギャップは、約2cmである。
図2は、本発明の好ましい実施形態を用いえるプロセスのフロー図である。操作のあいだ、パターン付きマスクを持つウェーハ180は、プロセスチャンバ100内に置かれる(ステップ204)。この実施形態において、ウェーハ180は、下部電極108によって支持される。エッチャントガス混合物は、ガス源110によってプラズマ空間140に供給される(ステップ206)。この例では、ウェーハ上の、フォトレジストマスクの下の誘電体レイヤをエッチングするために、アルゴン、C48、酸素、および他の成分ガスのエッチャントガス化学物質が用いられえる。変調された第1および第2RF電力がそれから供給されて(ステップ208)、エッチャントガスから形成されたプラズマを作り、維持する。このプラズマは、マスクの下のレイヤをエッチングする(ステップ210)ために用いられる。
理論によって束縛されることを望むのではないが、容量性結合されたプロセスチャンバ内のRF電力の変調は、プラズマのシースの変化を起こすと考えられる。そのような変化はシステムによっては、プラズマ空間の一部に影響するだけかもしれない。本発明のプロセスチャンバは、薄い電極ギャップおよび高いアスペクト比によって規定される薄いプラズマ空間を有するので、その結果、この変調によって影響されるシースは、プラズマ空間の大部分を占める。その結果、変調は、種の解離およびプラズマ空間の大部分についての生成比(generation ratios)の損失を独立して制御するのに用いられえる。
図3は、より高い周波数のRF源の変調周波数に対するF+/CF2 +の濃度比のグラフである。このグラフは、変調周波数が増すと、CF2 +に対するF+の比が減り、変調周波数がこの比を制御するために用いられえることを概略的に示す。これは、プラズマ空間の大部分においてガスの解離比を制御するために本発明がどのように用いられえるかの例である。
生成比(generation ratio)に対するプラズマ損失は、イオンおよび電子の個数およびそれらのエネルギーのようなさまざまなファクタに依存する。変調は、これらさまざまなファクタを変えるのに用いられえる。上述のように、そのような変調は、主にシース領域に影響しえる。本発明は、この空間の大部分を占めるシース領域を提供するので、この変調は、プラズマの大部分の空間に影響を与えるために用いられえる。
プラズマバルクの体積が大きな量で変化されるとき、プラズマ中の生成−損失バランス(generation-loss balance)が変えられる。バランスの変化は、電子温度(およびそれによってプラズマ種の断片化/解離)のようなプラズマパラメータを変えるとわかっている。
本発明は、エッチングをエッチングのタイプに従って調整するために用いられえるさらなる制御も提供する。例えば、高アスペクト比のコンタクトをエッチングするとき、エッチングのためにより高いエネルギーのイオンを提供するために多くのシース(sheath)が望まれる。パルス変調器は、高いシースポテンシャルに有利な変調周波数を有し、連続波動作のあいだに達成可能であるよりも、パルスオンのあいだに増加された瞬時のより低い周波数の電力を可能にさえする。もし代わりに低k誘電体中にトレンチがエッチングされるべきなら、イオン衝突は低減されなければならず、したがってシースは低減されなければならない。これは、ウェーハシースを典型的に制御する、より低い周波数を変調することによって、低いイオンエネルギーをさらに微調整することを可能にすることによって達成されえる。
変調は、シースによって影響される空間のパーセンテージを変えるためにも用いられえる。したがって、本発明は、エッチングバイアス、シース、および解離化学反応を制御するために追加の制御を提供することができる。このコントローラは、RF電源の変調が互いに同期して、または互いに独立して変調されることを可能にする。
他の実施形態において、他のRF電源および電極構成が用いられえる。例えば、他の実施形態は、第1および第2RF源を上側電極に接続しえる。
他のエッチングの効果が、狭いプラズマ空間を有し、2つのRF源の変調を可能にする本発明の装置から見いだされえる。
本発明はいくつかの好ましい実施形態について説明されてきたが、本発明の範囲に入る変更、組み合わせ、改変物およびさまざまな代替の等価物が存在する。本発明の方法および装置を実現する多くの代替のやり方が存在ことに注意されたい。したがって以下の添付の特許請求の範囲は、全てのそのような改変物、組み合わせ、変更、およびさまざまな代替の等価物を本発明の真の精神および範囲に入るよう含むと解釈されるべきであると意図される。
本発明の好ましい実施形態において用いられえる容量性結合されたプロセスチャンバの概略図である。 本発明の好ましい実施形態を用いえるプロセスのフロー図である。 変調周波数に対するF+/CF2 +の濃度比のグラフである。

Claims (12)

  1. ウェーハ上のレイヤにプラズマエッチングを施す装置であって、
    流体の移動が可能にガス源と連通し容量的に結合されたプロセスチャンバと、
    前記プロセスチャンバ内に設けられた第1電極と、
    前記ウェーハを保持する基板チャッキングメカニズムを形成し、前記第1電極から間隔を置いて対向する第2電極と、
    前記第2電極に電気的に接続され、約150kHzから約10MHzの間の第1高周波電力を供給する第1高周波電源と、
    前記第2電極に電気的に接続され、約12MHzから約200MHzの間の第2高周波電力を供給する第2高周波電源と、
    前記第1高周波電源に制御可能に接続され、前記第1高周波電源の供給電力を約1kHzから約100kHzの間の周波数に変調する制御を行う第1変調制御器と、
    前記第2高周波電源に制御可能に接続され、前記第2高周波電源の供給電力を約1kHzから約100kHzの間の周波数に変調する制御を行う第2変調制御器と
    を備え、
    前記第1変調制御器および前記第2変調制御器は、前記第2電極に供給される前記第1高周波電力および前記第2高周波電力の各周波数を変調させ
    前記第2電極は、前記第1電極と前記第2電極との間の間隔と、前記ウェーハの直径との比が1:15から1:60との間になるように、前記第1電極から間隔を置いて対向する、装置。
  2. 前記第1電極と前記第2電極との間の間隔は、8cm未満である請求項1に記載の装置。
  3. 前記第2高周波電源の周波数は、前記第1高周波電源の周波数の10倍より大きい請求項1または請求項2に記載の装置。
  4. 更に、前記プロセスチャンバ内に設けられ、前記ウェーハの周囲にプラズマ空間を画定する閉じ込めリングを備える請求項1ないし請求項のいずれか一項に記載の装置。
  5. 更に、前記第1変調制御器および前記第2変調制御器に制御可能に接続されたコントローラを備える請求項1ないし請求項のいずれか一項に記載の装置。
  6. ウェーハ上のレイヤにプラズマエッチングを施す装置であって、
    流体の移動が可能にガス源と連通し容量的に結合されたプロセスチャンバと、
    前記プロセスチャンバ内に設けられた第1電極と、
    前記第1電極から間隔を置いて対向し、前記第1電極との間に前記ウェーハをマウント可能な第2電極であって、前記第1電極と前記第2電極との間の間隔に対する前記ウェーハの直径のアスペクト比は、15:1から40:1の間である第2電極と、
    前記第2電極に電気的に接続され、第1周波数で第1電力信号を供給する第1高周波電源と、
    前記第2電極に電気的に接続され、前記第1高周波と異なる第2周波数で第2電力信号を供給する第2高周波電源と、
    前記第1高周波電源に制御可能に接続され、前記第1電力信号を約1kHzから約100kHzの間の周波数に変調する制御を行う第1変調制御器と、
    前記第2高周波電源に制御可能に接続され、前記第2電力信号を約1kHzから約100kHzの間の周波数に変調する制御を行う第2変調制御器と
    を備え、
    前記第2電極は、前記ウェーハを保持する基板チャッキングメカニズムを形成し、
    前記第1変調制御器および前記第2変調制御器は、前記第2電極に供給される前記第1電力信号および前記第2電力信号の各周波数を変調させる、装置。
  7. 前記第1電極と前記第2電極との間の間隔は、8cm未満である請求項に記載の装置。
  8. 前記第2高周波電源の周波数は、前記第1高周波電源の周波数の10倍より大きい請求項または請求項に記載の装置。
  9. 更に、前記プロセスチャンバ内に設けられ、前記ウェーハの周囲にプラズマ空間を画定する閉じ込めリングを備える請求項ないし請求項のいずれか一項に記載の装置。
  10. 更に、前記第1変調制御器および前記第2変調制御器に制御可能に接続されたコントローラを備える請求項ないし請求項のいずれか一項に記載の装置。
  11. 前記第1の電極は接地される請求項1ないし請求項10のいずれか一項に記載の装置。
  12. 前記第1変調制御器および前記第2変調制御器による変調によって、前記第1電極と前記第2電極との間のギャップに占めるプラズマのシース領域を増加させる、請求項1ないし請求項11のいずれか一項に記載の装置。
JP2006532543A 2003-05-06 2004-04-29 ナローギャップ容量結合リアクタのrfパルシング技術 Expired - Fee Related JP4794449B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/431,030 US7976673B2 (en) 2003-05-06 2003-05-06 RF pulsing of a narrow gap capacitively coupled reactor
US10/431,030 2003-05-06
PCT/US2004/013707 WO2004102638A2 (en) 2003-05-06 2004-04-29 Rf pulsing of a narrow gap capacitively coupled reactor

Publications (3)

Publication Number Publication Date
JP2007501530A JP2007501530A (ja) 2007-01-25
JP2007501530A5 JP2007501530A5 (ja) 2007-06-14
JP4794449B2 true JP4794449B2 (ja) 2011-10-19

Family

ID=33416370

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006532543A Expired - Fee Related JP4794449B2 (ja) 2003-05-06 2004-04-29 ナローギャップ容量結合リアクタのrfパルシング技術

Country Status (9)

Country Link
US (2) US7976673B2 (ja)
EP (1) EP1620876B1 (ja)
JP (1) JP4794449B2 (ja)
KR (2) KR20060013386A (ja)
CN (1) CN1816893B (ja)
AT (1) ATE470949T1 (ja)
DE (1) DE602004027620D1 (ja)
TW (1) TWI460784B (ja)
WO (1) WO2004102638A2 (ja)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2002242025A1 (en) * 2001-01-29 2002-08-12 Olga Kachurina Advanced composite ormosil coatings
US7887889B2 (en) * 2001-12-14 2011-02-15 3M Innovative Properties Company Plasma fluorination treatment of porous materials
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR20060005560A (ko) * 2004-07-13 2006-01-18 삼성전자주식회사 플라즈마를 이용하는 반도체 소자 제조 장비
US9083392B2 (en) * 2005-05-17 2015-07-14 The Regents Of The University Of Michigan Wireless sensing and communication utilizing RF transmissions from microdischarges
KR100777151B1 (ko) * 2006-03-21 2007-11-16 주식회사 디엠에스 하이브리드형 플라즈마 반응장치
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
JP5514413B2 (ja) 2007-08-17 2014-06-04 東京エレクトロン株式会社 プラズマエッチング方法
US7736914B2 (en) * 2007-11-29 2010-06-15 Applied Materials, Inc. Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
CN101736326B (zh) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
JP5390846B2 (ja) 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8154209B2 (en) * 2009-04-06 2012-04-10 Lam Research Corporation Modulated multi-frequency processing method
US8659335B2 (en) 2009-06-25 2014-02-25 Mks Instruments, Inc. Method and system for controlling radio frequency power
US20110011534A1 (en) * 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
KR101384341B1 (ko) * 2010-06-10 2014-04-14 에스티에스반도체통신 주식회사 무선 전력과 무선 주파수 신호를 이용하는 스크린 프린팅 장치
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US20120258555A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode and Systems Implementing the Same
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9111728B2 (en) 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US20130119018A1 (en) * 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
US9030101B2 (en) * 2012-02-22 2015-05-12 Lam Research Corporation Frequency enhanced impedance dependent power control for multi-frequency RF pulsing
US9114666B2 (en) * 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9197196B2 (en) * 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
TWI599272B (zh) * 2012-09-14 2017-09-11 蘭姆研究公司 根據三個或更多狀態之功率及頻率調整
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9875873B2 (en) * 2014-08-08 2018-01-23 Shimadzu Corporation Particle charger
EP3038132B1 (en) * 2014-12-22 2020-03-11 IMEC vzw Method and apparatus for real-time monitoring of plasma etch uniformity
JP2018038988A (ja) * 2016-09-09 2018-03-15 株式会社島津製作所 粒子濃縮装置
TWI792598B (zh) * 2017-11-17 2023-02-11 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
JPH09120957A (ja) * 1995-08-23 1997-05-06 Fujitsu Ltd プラズマ装置及びプラズマ処理方法
JPH11219938A (ja) * 1998-02-02 1999-08-10 Matsushita Electron Corp プラズマエッチング方法
WO2003003405A1 (en) * 2001-06-29 2003-01-09 Lam Research Corporation Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
JP2003077904A (ja) * 1996-03-01 2003-03-14 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI62692C (fi) * 1981-05-20 1983-02-10 Valmet Oy Pappersmaskinspress med bred presszon
US4500563A (en) * 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
KR890004881B1 (ko) 1983-10-19 1989-11-30 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리 방법 및 그 장치
US5755886A (en) * 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
DE3733135C1 (de) * 1987-10-01 1988-09-22 Leybold Ag Vorrichtung zum Beschichten oder AEtzen mittels eines Plasmas
EP0395415B1 (en) * 1989-04-27 1995-03-15 Fujitsu Limited Apparatus for and method of processing a semiconductor device using microwave-generated plasma
US4889588A (en) * 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JPH07131671A (ja) * 1993-10-28 1995-05-19 Matsushita Electric Ind Co Ltd ダイナミックフォーカス用増幅回路
US5468341A (en) * 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5565036A (en) * 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
JP3093572B2 (ja) * 1994-07-07 2000-10-03 株式会社半導体エネルギー研究所 ドライエッチング方法
US5683538A (en) * 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
US5614060A (en) * 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5983828A (en) 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
KR970064327A (ko) * 1996-02-27 1997-09-12 모리시다 요이치 고주파 전력 인가장치, 플라즈마 발생장치, 플라즈마 처리장치, 고주파 전력 인가방법, 플라즈마 발생방법 및 플라즈마 처리방법
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
JPH09330913A (ja) 1996-06-12 1997-12-22 Matsushita Electric Ind Co Ltd プラズマ発生方法及びプラズマ発生装置
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3220394B2 (ja) * 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP4114972B2 (ja) * 1997-05-27 2008-07-09 キヤノンアネルバ株式会社 基板処理装置
JP3629705B2 (ja) 1997-06-06 2005-03-16 東京エレクトロン株式会社 プラズマ処理装置
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
JP2000031128A (ja) 1998-05-06 2000-01-28 Mitsubishi Electric Corp エッチング処理装置及びエッチング処理方法、並びに半導体装置の製造方法及び半導体装置
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
JP4578651B2 (ja) 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
JP2001110798A (ja) * 1999-10-04 2001-04-20 Ulvac Japan Ltd プラズマcvd装置及び薄膜製造方法
US6363882B1 (en) 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
JP3792999B2 (ja) * 2000-06-28 2006-07-05 株式会社東芝 プラズマ処理装置
US6726804B2 (en) * 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
US6777344B2 (en) * 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6777037B2 (en) * 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
JPH09120957A (ja) * 1995-08-23 1997-05-06 Fujitsu Ltd プラズマ装置及びプラズマ処理方法
JP2003077904A (ja) * 1996-03-01 2003-03-14 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JPH11219938A (ja) * 1998-02-02 1999-08-10 Matsushita Electron Corp プラズマエッチング方法
WO2003003405A1 (en) * 2001-06-29 2003-01-09 Lam Research Corporation Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor

Also Published As

Publication number Publication date
ATE470949T1 (de) 2010-06-15
TW200504870A (en) 2005-02-01
KR20060013386A (ko) 2006-02-09
DE602004027620D1 (de) 2010-07-22
EP1620876B1 (en) 2010-06-09
WO2004102638A2 (en) 2004-11-25
EP1620876A2 (en) 2006-02-01
US7976673B2 (en) 2011-07-12
US8337713B2 (en) 2012-12-25
JP2007501530A (ja) 2007-01-25
KR20120098951A (ko) 2012-09-05
US20110263130A1 (en) 2011-10-27
CN1816893A (zh) 2006-08-09
CN1816893B (zh) 2012-09-19
TWI460784B (zh) 2014-11-11
US20040221958A1 (en) 2004-11-11
KR101303969B1 (ko) 2013-09-03
WO2004102638A3 (en) 2005-07-28

Similar Documents

Publication Publication Date Title
JP4794449B2 (ja) ナローギャップ容量結合リアクタのrfパルシング技術
US11670486B2 (en) Pulsed plasma chamber in dual chamber configuration
US4581100A (en) Mixed excitation plasma etching system
US8641916B2 (en) Plasma etching apparatus, plasma etching method and storage medium
KR101033374B1 (ko) 펄스화된 vhf 동작에 의한 플라즈마 종 및 균일성 제어
KR101291347B1 (ko) 기판에서 불소계 폴리머를 제거하기 위한 장치 및 그를위한 방법
US8968588B2 (en) Low electron temperature microwave surface-wave plasma (SWP) processing method and apparatus
KR20120056842A (ko) 중력에 의한 가스 확산 분리(gigds) 기술에 의해 제어되는 플라즈마 발생 시스템
KR20030051692A (ko) 플라즈마 처리챔버에서 단일 주파수 rf전력을 이용한웨이퍼 처리시스템, 장치 및, 방법
JP2022525308A (ja) 高アスペクト比エッチングのためのプラズマエッチングツール
JP2000332000A (ja) プラズマ処理装置及びプラズマ処理装置の制御方法
JP3042208B2 (ja) マイクロ波プラズマ処理装置
CA2387432C (en) Method and apparatus for etching and deposition using micro-plasmas
JP2569019B2 (ja) エッチング方法及びその装置
JP2008010683A (ja) マイクロ波プラズマ処理装置
CN113284786A (zh) 基片处理方法和基片处理装置
JP4865951B2 (ja) プラズマエッチング方法
US6432730B2 (en) Plasma processing method and apparatus
JP4391127B2 (ja) プラズマ処理方法
JPH08246146A (ja) プラズマ処理方法及びその装置
JPH09260352A (ja) プラズマ処理装置及びプラズマ処理方法
JPS6373624A (ja) 有磁場マイクロ波プラズマ処理装置
JPS6276627A (ja) ドライエツチング装置
KR20010028264A (ko) 건식식각장비

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070418

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070418

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100506

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100511

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100810

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100817

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100907

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101102

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110302

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110330

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110420

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110712

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110726

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4794449

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140805

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees