TWI595528B - 電漿處理方法 - Google Patents

電漿處理方法 Download PDF

Info

Publication number
TWI595528B
TWI595528B TW102139963A TW102139963A TWI595528B TW I595528 B TWI595528 B TW I595528B TW 102139963 A TW102139963 A TW 102139963A TW 102139963 A TW102139963 A TW 102139963A TW I595528 B TWI595528 B TW I595528B
Authority
TW
Taiwan
Prior art keywords
upper electrode
variable capacitor
plasma
electrode
region
Prior art date
Application number
TW102139963A
Other languages
English (en)
Other versions
TW201423828A (zh
Inventor
木原嘉英
川又誠也
芳賀俊雄
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201423828A publication Critical patent/TW201423828A/zh
Application granted granted Critical
Publication of TWI595528B publication Critical patent/TWI595528B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

電漿處理方法
本發明係關於一種電容耦合型電漿處理裝置中之電漿處理方法,該電漿處理裝置中,分配供給至與載置基板之下部電極對向配置之內側及外側之上部電極之高頻電力比可以可變方式控制。
半導體元件或FPD(Flat Panel Display,平板顯示器)之製造程序中之蝕刻、沉積、氧化、濺鍍等之微細加工或處理中,為使處理氣體在相對較低溫下進行良好的反應,可利用電漿。通常,為產生電漿可利用放電,電漿處理裝置可大致分為利用高頻波放電者,與利用微波放電者。高頻波放電方式更可分類為於處理容器之中設置平行平板電極之電容耦合型,與在處理容器之周圍安裝螺旋形或渦卷狀之電極之感應耦合型。此等數種電漿產生方式之中,電容耦合型係量產用裝置及元件研發用裝置之主流。
電容耦合型電漿處理裝置中,於可減壓之處理容器或反應容器內平行配置上部電極與下部電極,於下部電極上載置被處理基板例如半導體晶圓,經由匹配器對上部電極或是下部電極施加既定頻率之高頻波。藉由因此高頻波所產生之高頻電場使電子加速,因電子與處理氣體之分子、原子之解離、電離碰撞產生電漿,藉由電漿中之自由基或離子於晶圓表面施行所希望之電漿處理(例如蝕刻加工)。
電漿處理中,處理之(面內)均一性係良率提升之基本要件,且隨著半導體元件微細化之進展或半導體晶圓之大口徑化重要性逐漸增加,且被要求之等級逐漸提高。關於此點,習知之電容耦合型電漿處理裝置中,半導體晶圓上的電漿密度均一性大幅影響處理均一性,故吾人相當講究用來產生電漿之電極,特別是施加高頻波之電極(高頻電極)之構造。
作為其典型例之一,已知一種電容耦合型電漿處理裝置,沿半徑向將與載置基板之下部電極對向之上部電極分割為內側電極與外側電極,可以可變方式控制分配給兩電極之電漿產生用高頻電力比(專利文獻1)。
此電容耦合型電漿處理裝置中,輸出電漿產生用高頻波之高頻電源之輸出端子經由筒狀之導電構件電性連接外側上部電極,並經由棒狀之中心導電構件電性連接內側上部電極。又,於中心導電構件之途中插入可變電容器,藉由步進馬達等以可變方式控制該可變電容器之電容。
此時,可變電容器之電容愈大,分配供給至外側上部電極之電力(亦即通過外側上部電極導入電漿之電力)Po,與分配供給至內側上部電極之電力(亦即通過內側上部電極導入電漿之電力)Pi之比(外側/內側電力分配比)Po/Pi愈小。相反地,可變電容器之電容愈小,外側/內側電力分配比Po/Pi愈大。藉此,可以可變方式控制可變電容器之電容,沿腔室內之徑向控制電漿密度分布或處理特性之分布。
【先前技術文獻】
【專利文獻】
【專利文獻1】日本特開2003-358425
如上述,對沿半徑向經分割之內側及外側2個上部電極分配高頻電力 之方式之電容耦合型電漿處理裝置中,於可變電容器之電容可變範圍之中,不可避免地存在有無法控制之區域,亦即共振區域。亦即,藉由存在於內側上部電極與外側上部電極之間之靜電電容(固定電容器),將來自高頻電源之高頻波分配給此等兩上部電極之各高頻供電部之間形成有閉電路。此閉電路不僅包含此等電極間靜電電容(固定電容器)及可變電容器,亦包含附隨於各高頻供電部之導體之電感,可變電容器之電容為某值時,呈共振狀態。於此共振點及其附近,即使可變電容器之電容僅稍微變化,外側/內側電力分配比Po/Pi亦會以急劇的變化率(斜率)大幅變化,故難以微調,不僅如此,有因閉電路內有大電流流動而使可變電容器破損之虞。
因此,以往吾人避開共振點及其附近區域(共振區域),僅於單側區域(通常係低於共振區域之區域)以可變方式控制可變電容器之電容,但現實上,外側/內側電力分配比Po/Pi之可變範圍或動態範圍小,故作為用來沿半徑向控制電漿密度分布或處理特性之分布之調整鈕之效果不足。
為解決如上述之習知技術之問題點,本發明提供一種電漿處理方法,於分配供給高頻電力至與載置基板之下部電極對向配置之內側上部電極及外側上部電極之電容耦合型電漿處理裝置中,可大幅提升為調節外側/內側電力分配比而設置之可變電容器作為控制電漿密度分布特性或處理特性之面內分布之調整鈕之功能。
依本發明之第1觀點之電漿處理方法使用電漿處理裝置對基板施行所希望之電漿處理,該電漿處理裝置包含:處理容器,可真空排氣;外側上部電極,於該處理容器內呈環狀設置,俾與載置基板之下部電極對向;內側上部電極,以絕緣方式配置於該外側上部電極之徑向內側;處理氣體供給部,朝該外側上部電極及該內側上部電極與該下部電極 之間之處理空間供給處理氣體;第1高頻電源,輸出頻率適於產生該處理氣體之電漿之第1高頻波;第1供電部,具有沿環繞方向連續地連接於該外側上部電極之筒狀導電構件,經由該筒狀導電構件對該外側上部電極施加來自該第1高頻電源之該第1高頻波;第2供電部,具有連接該內側上部電極之中心之棒狀中心導電構件,自該第1供電部分叉,經由該中心導電構件對該內側上部電極供給來自該第1高頻電源之該第1高頻波;可變電容器,為調整通過該外側上部電極對該電漿所供給之電力與通過該內側上部電極對該電漿所供給之電力的比值,設於該第2供電部;及可變電容器控制部,用來以可變方式控制該可變電容器之電容;且該可變電容器具有既定共振區域內之電容值時,包含該第1及第2供電部、於該外側上部電極與該內側上部電極之間所形成之固定電容器、與該可變電容器之閉電路相對於該第1高頻波實質上呈共振狀態,該電漿處理方法之特徵在於:選擇性地使用該可變電容器之低於該共振區域之第1區域內之電容值與高於該共振區域之第2區域內之電容值,以實行該電漿處理。
上述構成中,使可變電容器之電容(可變電容器步進數)選定範圍朝低頻側之非共振區域與高頻側之非共振區域雙方擴張,藉此可更自由且多樣地控制電漿密度分布特性或處理特性之面內分布。
依本發明之第2觀點之電漿處理方法使用電漿處理裝置對基板施行所希望之電漿處理,該電漿處理裝置包含:處理容器,可真空排氣;外側上部電極,於該處理容器內呈環狀設置,俾與載置基板之下部電極對向;內側上部電極,以絕緣方式配置於該外側上部電極之徑向內側;處理氣體供給部,朝該外側上部電極及該內側上部電極與該下部電極之間之處理空間供給處理氣體; 第1高頻電源,輸出頻率適於產生該處理氣體之電漿之第1高頻波;第1供電部,具有沿環繞方向連續地連接於該外側上部電極之筒狀導電構件,經由該筒狀導電構件對該外側上部電極施加來自該第1高頻電源之該第1高頻波;第2供電部,具有連接該內側上部電極之中心之棒狀中心導電構件,自該第1供電部分叉,經由該中心導電構件對該內側上部電極供給來自該第1高頻電源之該第1高頻波;可變電容器,為調整通過該外側上部電極對該電漿所供給之電力與通過該內側上部電極對該電漿所供給之電力的比值,設於該第2供電部;可變電容器控制部,用來以步進方式控制該可變電容器之電容;及電極間間隙調整部,用來以可變方式調整該外側上部電極及該內側上部電極與該下部電極之間之電極間間隙;且該可變電容器具有既定共振區域內之電容值時,包含該第1及第2供電部、於該外側上部電極與該內側上部電極之間所形成之固定電容器、與該可變電容器之閉電路相對於該第1高頻波實質上呈共振狀態,該電漿處理方法之特徵在於:依照該電極間間隙,選擇性地使用該可變電容器之低於該共振區域之第1區域內之電容值與高於該共振區域之第2區域內之電容值,以實行該電漿處理。
上述構成中,藉由與電極間間隙調整功能併用,可更擴張依本發明之可變電容器步進數可變調整功能之有用性。
依本發明之電漿處理方法,藉由如上述之構成及作用,分配供給高頻電力至與載置基板之下部電極對向配置之內側上部電極及外側上部電極之電容耦合型電漿處理裝置中,可大幅提升為調節外側/內側電力分配比而設置之可變電容器作為控制電漿密度分布特性或處理特性之面內分布之調整鈕之功能。
BR1~BR5‧‧‧分叉
C58‧‧‧電容器(靜電電容)
C96‧‧‧電容
Co、Ci‧‧‧電容器
L10、L70、L94‧‧‧電感器
PS‧‧‧處理空間
Ro、Ri‧‧‧電阻
W‧‧‧半導體晶圓
10‧‧‧腔室(處理容器)
10a‧‧‧接地導體
10b‧‧‧底壁
10c‧‧‧側壁
12、16‧‧‧基座
14、16‧‧‧支持部
18‧‧‧排氣路
20‧‧‧擋板
22‧‧‧排氣埠
24‧‧‧排氣管
26‧‧‧排氣裝置
27‧‧‧送入送出口
28‧‧‧閘閥
30‧‧‧下部高頻電源
32‧‧‧下部匹配器
34‧‧‧下部供電棒
36‧‧‧靜電吸盤
36a‧‧‧電極
36b、36c‧‧‧絕緣膜
38‧‧‧聚焦環
40‧‧‧直流電源
42‧‧‧開關
43‧‧‧被覆線
44‧‧‧冷媒流路
46、48‧‧‧配管
50‧‧‧氣體供給管
52、54、56‧‧‧上部電極
58‧‧‧介電質
60‧‧‧陶瓷
62‧‧‧遮蔽構件
64‧‧‧上部匹配器
66‧‧‧第1上部供電棒
68‧‧‧電連接器
70‧‧‧供電筒(筒狀導電構件)
72‧‧‧上部高頻電源
73‧‧‧絕緣構件
74a‧‧‧氣體通氣孔
74‧‧‧電極板
76‧‧‧電極支持體
78‧‧‧分隔構件
80‧‧‧中心氣體導入室
82‧‧‧周邊氣體導入室
84‧‧‧處理氣體供給源
86‧‧‧氣體供給管
86a、86b‧‧‧分叉管
88a、88b‧‧‧流量控制閥
90‧‧‧質量流量控制器(MFC)
92‧‧‧開合閥
94‧‧‧第2上部供電棒(中心導電構件)
96‧‧‧可變電容器
98‧‧‧主控制部
100‧‧‧步進馬達(M)
102‧‧‧低通濾波器(LPF)
104‧‧‧高通濾波器(HPF)
106‧‧‧峰值偵測電路
110‧‧‧導體背板
112‧‧‧基底
114‧‧‧伸縮囊
116‧‧‧擋板
118‧‧‧上部腳部
120‧‧‧環狀板
122‧‧‧下部腳部
124‧‧‧環部
124a‧‧‧板部
124b‧‧‧柱狀部
126‧‧‧螺軸
128‧‧‧馬達
130‧‧‧螺帽
圖1係顯示依本發明之一實施形態之電漿處理裝置之構成之剖面圖。
圖2係顯示圖1之電漿處理裝置中之高頻供電部之構成圖。
圖3係顯示上述高頻供電部之等價電路之電路圖。
圖4A係顯示上述等價電路中之可變電容器電容-分叉電流特性之曲線圖。
圖4B係放大顯示圖4A的一部分(共振區域)之部分放大曲線圖。
圖5係對比顯示上述等價電路中之內側電流及外側電流之特性圖。
圖6係顯示可變電容器中可變電容器電容與可變電容器步進數之對應關係圖。
圖7係顯示可變電容器之可變電容器步進數與高頻波之Vpp之相關關係圖。
圖8係顯示依一實施例之蝕刻加工之實驗中獲得之晶圓上之蝕刻速率特性(面內分布)圖。
圖9A係顯示依實施形態之可變電容器步進數可變調整功能之一作用圖。
圖9B係顯示上述可變電容器步進數可變調整功能之另一作用圖。
圖10係依一實施例之多層膜蝕刻之實驗中獲得之蝕刻形狀之剖面SEM相片之拷貝圖。
圖11係顯示比較例中獲得之晶圓上之蝕刻速率特性(面內分布)圖。
圖12係顯示一實施例中獲得之晶圓上之蝕刻速率特性(面內分布)圖。
圖13係顯示依實施形態之可變電容器步進數可變調整功能之一作用圖。
圖14係顯示依實施形態之一變形例之電漿處理裝置之構成之剖面圖。
圖15A係顯示電極間間隙為170mm(固定),可變電容器步進數可變時之光阻(PR)蝕刻速率特性圖。
圖15B係顯示電極間間隙為170mm(固定),可變電容器步進數可變時之SiO2蝕刻速率特性圖。
圖16A係顯示電極間間隙為130mm(固定),可變電容器步進數可變時 之PR蝕刻速率特性圖。
圖16B係顯示電極間間隙為130mm(固定),可變電容器步進數可變時之SiO2蝕刻速率特性圖。
圖17A係顯示電極間間隙為87mm(固定),可變電容器步進數可變時之PR蝕刻速率特性圖。
圖17B係顯示電極間間隙為87mm(固定),可變電容器步進數可變時之SiO2蝕刻速率特性圖。
圖18係顯示依實施形態之可變電容器步進數調整功能與電極間間隙調整功能之併用之有用性之曲線圖。
圖19A係顯示依實施形態之可變電容器步進數調整功能與電極間間隙調整功能之併用之有用性之曲線圖。
圖19B係顯示依實施形態之可變電容器步進數調整功能與電極間間隙調整功能之併用之有用性之曲線圖。
以下,參照附圖說明本發明之較佳實施形態。
圖1顯示依本發明之一實施形態之電漿處理裝置之構成。此電漿處理裝置作為電容耦合型電漿蝕刻裝置構成,包含例如表面經氧皮鋁處理(陽極氧化處理)之鋁所構成之圓筒形腔室(處理容器)10。腔室10接地。
於腔室10中央部,作為兼為高頻電極之基板固持台水平配置有載置作為被處理基板例如半導體晶圓W之圓板狀基座12。此基座12例如由鋁所構成,由自腔室10底朝垂直上方延伸之絕緣性筒狀支持部14支持。
在沿絕緣性筒狀支持部14之外周自腔室10底朝垂直上方延伸之導電性筒狀支持部16與腔室10之內壁之間形成環狀排氣路18,於此排氣路18之上部或入口安裝有環狀擋板20,且於底部設有排氣埠22。為使腔室10內之氣體相對於基座12上的半導體晶圓W沿軸對象均一流動,宜沿圓周 向以等間隔方式設置複數排氣埠22。
各排氣埠22經由排氣管24連接排氣裝置26。排氣裝置26具有渦輪分子泵等真空泵,可使腔室10內之電漿處理空間減壓至所希望之真空度。於腔室10之側壁之外,安裝有使半導體晶圓W之送入送出口27開合之閘閥28。
基座12經由下部匹配器32及下部供電棒34電性連接RF偏壓用下部高頻電源30。下部高頻電源30可以可變之功率輸出適於控制導入半導體晶圓W之離子之能量之一定頻率(通常在13.56MHz以下,例如2MHz)之高頻波RFL。下部匹配器32收納有用來在下部高頻電源30側之阻抗與負載(主要係基座、電漿、腔室)側之阻抗之間進行整合之電抗可變之匹配電路。
於基座12之上表面,設有用來以靜電吸附力固持半導體晶圓W之靜電吸盤36,於靜電吸盤36之半徑向外側,設有呈環狀包圍半導體晶圓W之周圍之聚焦環38。靜電吸盤36中,導電膜所構成之電極36a被包夾在一對絕緣膜36b、36c之間,電極36a經由開關42及被覆線43電性連接高壓直流電源40。藉由自直流電源40施加之高壓直流電壓,可以靜電力吸附固持半導體晶圓W在靜電吸盤36上。
於基座12之內部,設有沿例如圓周向延伸之環狀冷媒室或冷媒流路44。此冷媒流路44中,自急冷器單元(未經圖示)經由配管46、48循環供給既定溫度冷媒,例如冷卻水cw。可藉由冷媒溫度控制靜電吸盤36上半導體晶圓W處理中之溫度。與此關聯,自傳熱氣體供給部(未經圖示)經由氣體供給管50對靜電吸盤36之上表面與半導體晶圓W之背面之間供給傳熱氣體,例如氦氣。且為裝載/卸載半導體晶圓W,亦設置沿垂直方向貫通基座12並可上下移動之升降銷及其昇降機構(未經圖示)等。
於基座(下部電極)12之上方,設有與此基座平行對向之上部電極52。 兩電極12、52之間之空間係電漿產生空間或處理空間PS。上部電極52中,形成有與基座(下部電極)12上的半導體晶圓W對向而面對電漿產生空間PS之面,亦即對向面。上部電極52以下列者構成:與基座12隔著既定間隔對向配置之環形或圈形外側(outer)上部電極54,及於此外側上部電極54之半徑向內側絕緣配置之圓板形內側(inner)上部電極56。
圖2顯示此電漿處理裝置中之上部高頻供電部之構成。如圖2所示,在外側上部電極54與內側上部電極56之間形成有例如0.25~2.0mm之環狀間隙(間隙),於此間隙設有例如石英所構成之介電質58。且亦可於此間隙設有陶瓷60。夾隔著此介電質58,於兩電極54、56之間形成有固定電容器(靜電電容)C58。此電容器C58之電容依照間隙之尺寸與介電質58之介電常數,被選定或調整為所希望之值。於外側上部電極54與腔室10之側壁之間,氣密地安裝有例如氧化鋁(Al2O3)所構成之環形絕緣性遮蔽構件62。
外側上部電極54宜以焦耳熱少,低電阻之導電體或半導體,例如矽構成。外側上部電極54經由上部匹配器64、第1上部供電棒66、電連接器68及供電筒(筒狀導電構件)70電性連接上部高頻電源72。上部高頻電源72輸出適於處理氣體放電,亦即產生電漿之頻率(通常在27MHz以上,例如60MHz)之高頻波RFH。上部匹配器64中,收納有用來在上部高頻電源72側之阻抗與負載(主要係基座、電漿、腔室)側之阻抗之間進行整合之電抗可變匹配電路。上部匹配器64之輸出端子連接第1上部供電棒66之上端。
供電筒70由圓筒狀或圓錐狀或是接近此等者之形狀之導電板例如鋁板或銅板構成,下端沿環繞方向連續地連接於外側上部電極54,上端藉由電連接器68電性連接第1上部供電棒66之下端部。供電筒70之外側中,腔室10之側壁較上部電極52之高度位置更朝上方延伸,構成圓筒狀接地導體10a。此圓筒狀接地導體10a之上端部藉由筒狀絕緣構件73與第1上部供電棒66電性絕緣。該構成中,於自電連接器68觀察之負載電路,在供 電筒70及外側上部電極54與圓筒狀接地導體10a形成以前者(70、54)為導波路之同軸線路。
再回到圖1,內側上部電極56包含:具有多數之氣體通氣孔74a,例如Si、SiC等半導體材料所構成之電極板74,及以可裝卸之方式支持此電極板74之導電材料例如表面經氧皮鋁處理之鋁所構成之電極支持體76。於電極支持體76之內部,設有例如以O形環所構成之環狀分隔構件78分割之2個氣體導入室,亦即中心氣體導入室80與周邊氣體導入室82。由中心氣體導入室80與設於其下表面之多數之氣體噴出孔74a構成中心噴淋頭,由周邊氣體導入室82與設於其下表面之多數之氣體噴出孔74a構成周邊噴淋頭。
自共通之處理氣體供給源84以所希望之流量比對此等氣體導入室80、82供給處理氣體。更詳細而言,來自處理氣體供給源84之氣體供給管86於途中分為2而至分叉管86a、86b,連接氣體導入室80、82,於分叉管86a、86b之途中分別設置流量控制閥88a、88b。自處理氣體供給源84至氣體導入室80、82之流路之流導相等,故藉由調整流量控制閥88a、88b,可任意調整對兩氣體導入室80、82所供給之處理氣體之流量比。又,於氣體供給管86之途中設有質量流量控制器(MFC)90及開合閥92。
如此,藉由調整被導入中心氣體導入室80與周邊氣體導入室82之處理氣體之流量比,可任意調整自對應於中心氣體導入室80之電極中心部之氣體通氣孔74a,亦即中心噴淋頭噴出之氣體流量,與自對應於周邊氣體導入室82之電極周邊部之氣體通氣孔74a,亦即周邊噴淋頭噴出之氣體流量,兩氣體流量之比。又,亦可使自中心噴淋頭及周邊噴淋頭分別噴出之處理氣體每單位面積之流量不同。且亦可獨立或別個選定自中心噴淋頭及周邊噴淋頭分別噴出之處理氣體之氣體種類或氣體混合比。
上部高頻電源72經由上部匹配器64、第1上部供電棒66、電連接器68及第2上部供電棒(中心導電構件)94,電性連接內側上部電極56之電 極支持體76。於第2上部供電棒94之途中,設有可以可變方式控制電容之可變電容器96。此可變電容器96之電容可藉由主控制部98通過步進馬達(M)100於一定範圍內以可變方式控制。
內側上部電極56電性連接用來使來自上部高頻電源72之高頻波(60MHz)不通過,來自下部高頻電源30之高頻波(2MHz)通往接地之低通濾波器(LPF)102。此低通濾波器(LPF)102雖宜以LR濾波器或LC濾波器構成,但僅以1條導線亦可對來自上部高頻電源72之高頻波(60MHz)賦予充分夠大的電抗,故亦可以此構成。另一方面,基座12電性連接用來使來自上部高頻電源72之高頻波(60MHz)通往接地之高通濾波器(HPF)104。
主控制部98由包含CPU或記憶體等之電腦系統構成,控制裝置內之各部,特別是高頻電源30、72、處理氣體供給源84及匹配器32、64、步進馬達(M)100等各個動作與整體動作(程序)。
且此電漿處理裝置中,用來偵測自上部高頻電源72對上部電極52(54、56)所施加之高頻波RFH之峰對峰值Vpp之峰值偵測電路106連接上部匹配器64之輸出側之第1上部供電棒66。主控制部98可自峰值偵測電路106接受Vpp測定值MVpp,將接受之Vpp測定值MVpp利用於可變電容器96之電容可變調整,更利用於後述之連鎖。
此電漿處理裝置中,為進行例如蝕刻,首先使閘閥28呈開狀態,將加工對象之半導體晶圓W送入腔室10內,載置在靜電吸盤36上。又,接著藉由排氣裝置26使腔室10內排氣,並自處理氣體供給源84將蝕刻氣體(一般係混合氣體)以既定流量及流量比導入氣體導入室80、82,藉由排氣裝置26使腔室10內之壓力為設定值。接著,自下部高頻電源30將RF偏壓用高頻波(2MHz)RFL以既定功率對基座12施加,接著自上部高頻電源72亦將電漿產生用高頻波(60MHz)RFH以既定功率對上部電極52(54、56)施加。且自傳熱氣體供給部朝靜電吸盤36與半導體晶圓W之間之接 觸界面供給傳熱氣體(氦氣),並使靜電吸盤用開關42導通,藉由靜電吸附力將傳熱氣體封入於上述接觸界面。自內側上部電極56之氣體通氣孔74a噴吐之蝕刻氣體於處理空間PS內在來自上部電極52(54、56)之高頻電場下放電,產生電漿。藉由此電漿所含有之自由基或離子蝕刻半導體晶圓W之被處理面。
圖3顯示此電漿處理裝置中之上部高頻供電部之等價電路。此等價電路中,電感器L70具有供電筒70之電感,電感器L94具有第2下部供電棒94之電感,固定電容器C58具有夾隔著介電質58在外側上部電極54與內側上部電極56之間形成之靜電電容。此等電感器L70、L94、固定電容器C58及可變電容器96(C96)形成閉迴路之LC電路。且電阻Ro、Ri及電容器Co、Ci分別表示形成於外側上部電極54及內側上部電極56之正下方之離子鞘之電阻及電容。回歸電路之電感器L10具有腔室10之電感。
此等價電路中,取決於可變電容器96之電容或可變電容器電容C96在各分叉(枝)流動之電流之電流值會變化。圖4顯示此可變電容器電容-分叉電流特性之一例。圖中,橫軸為可變電容器96之可變電容器電容C96之值,縱軸為各分叉之電流i1、i2、i3、i4、i5之電流值。在此,i1係在有可變電容器96及電感器L94之第1分叉BR1流動之電流,i2係在有電感器L70之第2分叉BR2流動之電流,i3係在有電阻Ri及電容器Ci之第3分叉BR3流動之電流,i4係在有電阻Ro及電容器Co之第4分叉BR4流動之電流,i5係在有固定電容器C58之第5分叉BR5流動之電流。
如圖4A所示,可變電容器96之可變電容器電容C96處於約130pF以下之區域時(C96<130pF時),無論可變電容器電容C96之值如何變化,各部之電流i1、i2、i3、i4、i5都不大變化。特別是自內側上部電極56供給至電漿之第3分叉BR3之電流i3幾乎不變化。且自外側上部電極54供給至電漿之第4分叉BR4之電流i4於C96<120pF之區域幾乎不變化,C96超過120pF附近才開始和緩地減少。又,第5分叉BR5之電流i5為負極性,朝與圖3之箭頭相反之方向流動。
然而,可變電容器電容C96超過130pF附近後,第1及第5分叉BR1、BR5之電流i1、i5即分別以急劇的曲線呈指數函數式地增大,另一方面,第2分叉BR2之電流i2以急劇的曲線呈指數函數式地減少,第4分叉BR4之電流i4亦以稍微急劇的曲線呈指數函數式地減少。然而,第3分叉BR3之電流i3幾乎不變化而保持至此為止之值,可變電容器電容C96到了極為接近共振點(C96≒152p)(約150pF)方開始減少。
如圖4A及圖4B所示,圖示例中,第1及第5分叉BR1、BR5之電流i1、i5於共振點(C96≒152pF)附近達最大值或極大值,自此可變電容器電容C96若稍微變化(增大)即一下子反轉為最小值或極小值,然後隨著可變電容器電容C96增大呈指數函數式地增大,於C96>160p之區域隨著可變電容器電容C96增大分別朝一定值(飽和值)漸近。惟第1分叉BR1之電流i1與C96<130pF時為相反方向。且第5分叉BR5之電流i5中,流動方向雖與C96<130pF時相同,但其電流值(飽和值)較C96<130pF時大。
第2及第4分叉BR2、BR4之電流i2、i4於共振點(C96≒152pF)附近達最小值或極小值,自此可變電容器電容C96若稍微變化(增大)即一下子反轉為最大值或極大值,然後隨著可變電容器電容C96增大呈指數函數式地減少,於C96>160p之區域隨著可變電容器電容C96增大分別朝一定值(飽和值)漸近。惟第2及第4分叉BR2、BR4之電流i2、i4皆較C96<130pF時大。
另一方面,第3分叉BR3之電流i3於共振點(C96≒152p)附近達最小值或極小值,自此隨著可變電容器電容C96增大呈指數函數式地增大,但不反轉為最大值或極大值,朝與C96<130pF時大致相同之值漸近。
圖示例中,以共振點(C96≒152pF)為中心,142pF<C96<160pF之區域大致係共振區域REC。此共振區域REC中,如上述,即使可變電容器電容C96僅稍微變化,分別於上部高頻供電部之分叉BR1~BR5流動之電流i1 ~i5亦會大幅變化。因此,與外側上部電極54和內側上部電極56之電力分配比,亦即外側/內側電力分配比Po/Pi相對應之流過第4及第3分叉BR4、BR3的電流i4、i3之比,亦即外側/內側電流比i4/i3,亦以急劇的變化率(斜率)大幅變化。因此,難以微調。
且於共振區域REC內,第1分叉BR1之電流i1在最大值(極大值)與最小值(極小值)之間大幅擺盪,故有可變電容器96破損之虞。因此,此電漿處理裝置中,將可變電容器電容C96設定於共振區域REC內,進行電漿處理,或是在正當進行電漿處理時將可變電容器電容C96之值導入共振區域RES內,或通過共振區域REC而進行可變調整非常不宜,故於主控制部98實行連鎖。
圖5中,將第3分叉BR3之電流(內側電流)i3及第4分叉BR4之電流(外側電流)i4之各特性自圖4之曲線圖中抽出,對比顯示之。又,橫軸為可變電容器96之可變電容器步進數CPI之值。在此,所謂可變電容器步進數CPI係主控制部98通過步進馬達(M)100以可變方式控制可變電容器96之可變電容器電容C96時,自主控制部98觀察可見到的(亦即可直接控制的)可變電容器96側之控制變數,通常以一定範圍內連續之步進數編號(整數)賦予之。又,在可變電容器96之可變電容器電容C96與可變電容器步進數CPI之間,如圖6所示,有線性的對應關係。對應於可變電容器電容C96之共振區域REC(142pF<C96<160pF)之可變電容器步進數CPI之共振區域RES為94<CPI<109。
如圖5所示,較共振區域RES低之低頻側(第1)之非共振區域LES(CPI<94)中,內側電流i3之電流值幾乎不變化而大致一定(約24安培),相對於此,外側電流i4之電流值若接近共振區域RES,亦即可變電容器步進數CPI若超過70,即自到此為止之飽和值(約8安培)起以和緩的曲線單調地減少。因此,於低頻側之非共振區域LES(CPI<94),外側/內側電流比i4/i3於可變電容器步進數CPI在70以下時無論可變電容器步進數CPI如何變化亦幾乎不變化而大致一定(約0.33),可變電容器步進數CPI若超 過70即逐漸降低,於共振區域RES前(CPI=93)約為0.15。亦即,外側/內側電流比i4/i3之可變範圍約為0.15~約0.33。
且於較共振區域RES高的高頻側(第2)之非共振區域HES(CPI>109),內側電流i3之電流值幾乎不變化而大致一定(約24安培),相對於此,外側電流i4之電流值隨著遠離共振區域RES以和緩的曲線單調地減少,朝一定飽和值(約12安培)漸近。因此,高頻側非共振區域HES(CPI>109)中之外側/內側電流比i4/i3之可變範圍為約0.48~約0.50。
又,於非共振區域LES、HES,外側/內側電流比i4/i3經常為i4/i3<1,外側/內側電力分配比Po/Pi亦經常為Po/Pi<1。然而,外側上部電極54正下方之電場強度Eo與內側上部電極56正下方之電場強度Ei之比,亦即外側/內側電場強度比Eo/Ei經常為Eo/Ei>1。亦即,相較於內側上部電極56之面積S56,外側上部電極54之面積S54格外地小(通常在1/10以下),故外側上部電極54中每單位面積之RF功率密度Po/S54(乃至於正下方之電場強度Eo)較內側上部電極56中每單位面積之RF功率密度Pi/S56(乃至於正下方之電場強度Ei)高。
如此,於作為可變電容器96實質上的使用區域之低頻的非共振區域LES(CPI<94)及高頻側之非共振區域HES(CPI>109)中,外側/內側電流比i4/i3之可變範圍或動態範圍都不大。以往,僅使用單側區域,特別是低頻側之非共振區域LES(CPI<94),故無法使外側/內側電力分配比Po/Pi任意可變,因此,作為用來沿徑向控制電漿密度分布或蝕刻特性之調整鈕之效果不足。
關於此點,本實施形態中,藉由選擇性地使用低頻側之非共振區域LES(CPI<94)及高頻側之非共振區域HES(CPI>109),可擴張可變電容器96之使用區域,如後述,提升作為用來沿徑向控制電漿密度分布或處理特性之面內分布之調整鈕之效果。
〔實施例1〕
本案發明人於依此實施形態之電漿處理裝置中,選擇4種可變電容器96之可變電容器步進數CPI「36」、「93」、「110」、「130」,進行以SiO2膜為遮罩之光阻膜蝕刻之實驗。此光阻膜蝕刻中,作為主要處理條件,於蝕刻氣體使用O2/Ar之混合氣體(流量20/200sccm),腔室10內之壓力為10mTorr,上部高頻電源72之輸出為500W,下部高頻電源30之輸出為300W。
又,在可變電容器96之可變電容器步進數CPI與高頻波RFH之峰對峰值Vpp之間,有如圖7所示之相關關係。主控制部98中,於記憶體上的表有此相關關係之資料,通過Vpp偵測電路106監視Vpp,於電漿處理中,可變電容器96之可變電容器步進數CPI快要進入共振區域RE時可實行連鎖。
圖8顯示此蝕刻加工之實驗中獲得之晶圓上的蝕刻速率特性(面內分布)。如圖示,若作為可變電容器步進數CPI選擇了低頻側之非共振區域LES內的「93」,晶圓上的蝕刻速率中,相較於晶圓邊緣部,於晶圓中心部即會相對較高。然而,若選擇了低頻側之非共振區域LES內的「36」,晶圓中心部高於晶圓邊緣部之傾向雖未變,但相對的差會減少很多。又,若作為可變電容器步進數CPI選擇了高頻側之非共振區域HES內之「130」,晶圓上的蝕刻速率中,相較於晶圓中心部,於晶圓邊緣部即會相對較高,若選擇了高頻側之非共振區域HES內的「110」,此傾向會更加顯著。
且本實施形態中,於1次蝕刻加工中,交互切換可變電容器步進數CPI之低頻側之非共振區域LES內之值與高頻側之非共振區域HES之值,藉此可獲得中間特性。
例如,若蝕刻加工之所需時間為T,將可變電容器步進數CPI各半(T/2)切換為「36」與「130」,藉此如圖9A所示,可獲得可變電容器步進數CPI為「36」時之特性與可變電容器步進數CPI為「130」時之特性之正中間的中間特性M36/130。或是,將可變電容器步進數CPI各半(T/2)切 換為「93」與「110」,藉此如圖9B所示,可獲得可變電容器步進數CPI為「93」時之特性與可變電容器步進數CPI為「110」時之特性之正中間的中間特性M93/110。各分配時間不限定於各半(T/2),例如將可變電容器步進數CPI分配給保持於低頻側之非共振區域LES內之值之時間2/3T,分配給保持於高頻側之非共振區域HES內之值之時間1/3T,藉此可獲得接近使可變電容器步進數CPI始終保持於低頻側之非共振區域LES內之值時獲得之特性之中間特性。
又,於蝕刻加工中,在低頻側之非共振區域LES與高頻側之非共振區域HES之間切換可變電容器步進數CPI時,蝕刻處理暫時中斷。此中斷時間通常在1~2秒以內,對蝕刻特性或蝕刻處理結果完全無影響。
〔實施例2〕
本案發明人於依此實施形態之電漿處理裝置中,選擇3種可變電容器96之可變電容器步進數CPI「36」、「88」、「110」,進行抗反射膜(Si)及有機膜(碳)之多層膜蝕刻之實驗。第1步驟之抗反射膜(Si)蝕刻中,於處理氣體使用CF4/CHF3/O2之混合氣體(流量150/75/35sccm),腔室10內之壓力為10mTorr,上部高頻電源72之輸出為500W,下部高頻電源30之輸出為50W。第2步驟之有機膜(碳)蝕刻中,於處理氣體使用O2/Ar之混合氣體(流量20/200sccm),腔室10內之壓力為10mTorr,上部高頻電源72之輸出為500W,下部高頻電源30之輸出為300W。
圖10顯示此多層膜蝕刻之實驗中獲得之蝕刻形狀剖面SEM相片之拷貝圖。此多層膜蝕刻中,因第1步驟之抗反射膜(Si)蝕刻轉印至抗反射膜(Si)之圖案於第2步驟之有機膜(碳)蝕刻中用於遮罩。此時,抗反射膜(Si)中遮罩之肩部崩塌(a/b)之差異會大幅影響蝕刻形狀之面內均一性。
關於此點,如圖10所示,晶圓中心部與晶圓邊緣部之間之差異量(|△a|+|△b|)在作為可變電容器96之可變電容器步進數CPI選擇低頻側之非共振區域LES內之「36」、「88」時分別為17.2、18.4,在選擇高頻側之 非共振區域HES內之「110」時為5.3。
又,a係縱方向之遮罩肩部崩塌,△a係a之晶圓中心部之值與晶圓邊緣部之值之差異量。b係橫方向之遮罩肩部崩塌,△b係b之晶圓中心部之值與晶圓邊緣部之值之差異量。例如,選擇可變電容器步進數CPI為「110」時,|△a|+|△b|=|53.6-50.6|+|23.5-21.2|=5.3。
且「晶圓中心部」係自晶圓中心起半徑向0mm之位置,「晶圓邊緣部」係自晶圓中心起145mm之位置(自邊緣起5mm內側之位置)。
如此,可變電容器96之可變電容器步進數CPI之選定範圍朝低頻側之非共振區域LES與高頻側之非共振區域HES雙方擴張,藉此可取得最適於抑制多層膜蝕刻中之遮罩肩部崩塌之值之可變電容器步進數CPI。
〔實施例3〕
本案發明人亦藉由實驗發現於本實施形態之電漿處理裝置中,可藉由調整可變電容器96之可變電容器步進數,妥善地修正或消除對應於上部電極52(54、56)之磨損電漿密度分布特性或處理特性之面內分布變化之現象(特性)。
此實驗中,作為比較例,固定可變電容器96之可變電容器步進數CPI為「36」,選擇3種內側上部電極56之電極板74之厚度CEL「15mm」、「10mm」、「3mm」,蝕刻光阻。且作為實施例,選擇電極板74之厚度CEL為「15mm」、「10mm」、「3mm」時,分別選擇可變電容器96之可變電容器步進數CPI為「36」、「120」、「112」,其他以相同條件蝕刻光阻。此實驗中,於處理氣體使用O2/Ar之混合氣體(流量20/200sccm),腔室10內之壓力為10mTorr,上部高頻電源72之輸出為500W,下部高頻電源30之輸出為300W。
圖11顯示以比較例獲得之晶圓上的蝕刻速率特性(面內分布)。如圖 示,可變電容器步進數CPI固定於「36」時,電極板74之厚度CEL為相當於新品時之厚度之「15mm」之際,呈晶圓中心部凹陷之分布。然而,電極板74之厚度CEL為相當於壽命過了一半時之厚度之「10mm」之際,呈晶圓中心部之凹陷小的分布。又,電極板74之厚度為相當於壽命快結束時之厚度之「3mm」之際,晶圓中心部之凹陷消失,呈大致平坦之分布。
本實施形態之電漿處理裝置中,自上部高頻電源72對上部電極52(54、56)施加頻率高的電漿產生用高頻波RFH,故雖未達被施加頻率低的離子導入用高頻波RFL之基座(下部電極板)12之程度,但於上部電極52(54、56)亦產生自偏電壓,因產生於其正下方之鞘之電場Eo、Ei離子自電漿入射。因此離子撞擊,上部電極52(54、56)之表面被濺鍍,電極之厚度CEL經時減少(消耗)。
比較例中,呈電極板74之厚度愈減少,蝕刻速率之面內均一性愈提升之結果。然而,就處理再現性之觀點而言不宜。就處理再現性之觀點而言,宜與電極板74之厚度CEL無關而獲得相同分布。
關於此點,已知如實施例,電極板74之厚度CEL自「15mm」變薄為「10mm」、「3mm」時,將可變電容器96之可變電容器步進數CPI自低頻側之非共振區域LES內之「36」變更為高頻側之非共振區域HES內之「120」、「112」的話,即可如圖12所示,維持蝕刻速率之面內分布於一定。
圖13顯示供相對於電極板74之厚度CEL之經時變化,於實際之製程管理中維持上述光阻(PR)之蝕刻製程中之蝕刻速率之面內分布(特別是晶圓中心部/邊緣部)於一定之可變電容器步進數可變調整手法。
如圖示,電極板74之厚度愈減少,蝕刻速率(E/R)之晶圓中心部與邊緣部之比(C/E比)整體上昇。在此,電極板74之厚度CEL為新品之「15mm」時,E/R之C/E比為0.8之際,即使不監視其後之電極板74之厚度CEL之經時變化(磨損度),調整可變電容器步進數CPI之值,俾 保持E/R之C/E比為0.8即可。此可變電容器步進數可變調整可根據軟體及資料庫在主控制部98控制下實施。
又,此可變電容器步進數可變調整中,在自低頻側之非共振區域LES(內之下限值)轉移至高頻側之非共振區域HES(內之上限值)之過程內,對應「0.8」之E/R之C/E比之可變電容器步進數CPI不存在之期間暫時存在。此時,可適當採用於1次蝕刻製程中,例如各半(T/2)切換低頻側之非共振區域LES內之某值(例如CPI=30)與高頻側之非共振區域HES內之某值(例如CPI=150)之方法。
〔其他實施形態或變形例〕
依上述實施形態之電容耦合型電漿處理裝置(圖1)中,於腔室10內固定基座12於一定高度位置。然而,如圖14所示,例如於腔室10內以可上下移動或位移之方式構成基座12,藉此可以可變方式調整上部電極52與基座(下部電極)12之電極間間隙。依本發明之可變電容器96之可變電容器步進數調整功能藉由與如此之電極間間隙調整功能組合,可如以下所說明,更擴大其作為針對電漿密度分布或處理特性之面內分布之控制之調整鈕之角色。
本案發明人選擇3種電極間間隙「170mm」、「130mm」、「87mm」,分別於各電極間間隙值下選擇3種可變電容器96之可變電容器步進數CPI「36」、「93」、「120」,依與上述第1實施例相同之處理條件實施SiO2/光阻(PR)之蝕刻。
如圖15A及圖15B所示,設定電極間間隙為「170mm」時,與可變電容器步進數CPI之值(「36」、「93」、「120」)無關,SiO2蝕刻及PR蝕刻中之蝕刻速率(E/R)特性皆呈大致一定之分布。
然而,如圖16A及圖16B所示,設定電極間間隙為「130mm」時,使可變電容器步進數CPI廣範圍地(橫跨低頻側之非共振區域LES與高頻側 之非共振區域HES)擺盪為「36」、「93」、「120」,藉此可朝平坦之方向控制SiO2之E/R特性分布及PR之E/R特性分布。
又,如圖17A及圖17B所示,設定電極間間隙為「87mm」時,使可變電容器步進數CPI廣範圍地(橫跨低頻側之非共振區域LES與高頻側之非共振區域HES)擺盪為「36」、「93」、「120」,藉此可明顯地且多樣地控制SiO2之E/R特性分布及PR之E/R特性分布。亦即,在晶圓中心下部與晶圓邊緣部之間,可使E/R特性分布為晶圓中心部低於晶圓邊緣部之谷形分布,晶圓中心部與晶圓邊緣部大致相等之平坦形分布,或是晶圓中心部高於晶圓邊緣部之山形分布。
如此,可驗證電極間間隙愈狹窄,藉由可變電容器96之可變電容器步進數調整功能,針對電漿密度分布或處理特性之面內分布之控制之調整鈕之效果愈大。
又,圖14之裝置構成中,隔著介電質筒狀支持部14及導體背板110支持基座12之基底112作為可昇降之可動基底構成。
在可動基底112與腔室10之底壁10b之間,設有筒狀伸縮囊114。此伸縮囊114使經由擋板116連通電漿產生空間(處理空間)PS之排氣路18朝下方延長,並將排氣路18及電漿產生空間(處理空間)PS自大氣空間隔離或隔斷。
於由伸縮囊114包圍之空間內,上部腳部118、環狀板120及下部腳部122沿縱方向連結設置。上部腳部118之上端結合可動基底112之下表面,上部腳部118之下端結合環狀板120之上表面。環狀板120之下表面結合下部腳部122之上端。下部腳部122之下端結合環部124之板部124a。
環部124包含上述板部124a及2個柱狀部124b。板部124a設於腔室10之下部下方。此構成例中,於板部124a安裝下部匹配器32。
於板部124a、環狀板120及可動基底112分別形成沿鉛直方向延伸之貫通孔,下部供電棒40通過此等貫通孔沿垂直方向延伸至導體背板110之下表面。
柱狀部124b自板部124a之周緣朝上方延伸。且柱狀124b在腔室10之外與腔室10之側壁10c大致平行而延伸。此等柱狀部124b連接例如滾珠螺桿所構成之進給機構。具體而言,2個螺軸126於腔室側壁10c之外側與2個柱狀部124b大致平行而延伸。此等螺軸126分別連接2個馬達128。且於此等螺軸126分別安裝2個螺帽130。此等螺帽130分別結合2個柱狀部124b。
依該昇降驅動機構,藉由使馬達128旋轉,螺帽130沿鉛直方向移動,亦即上下動。伴隨著螺帽130上下動,隔著可動基底112間接由環部124支持之基座12可沿鉛直方向移動,亦即上下動。且伴隨著基座12上下動,伸縮囊114伸縮。其結果,可以可變方式調整基座12與上部電極52之間之距離,亦即電極間間隙。
本案發明人就可變電容器96之可變電容器步進數調整功能與電極間間隙調整功能之併用(融合關係),如圖18之曲線圖所示亦自另一角度進行分析。此曲線圖中,以固定可變電容器步進數CPI於「36」,設定電極間間隙於「87mm」時之離子通量FXi及自由基通量FXR為基準(原點),分別以點描方式顯示電極間間隙變更為「130mm」、「170mm」時之離子通量FXi之變化率δFXi(橫軸)與自由基通量FXR之變化率δFXR(縱軸)。又,使用下列式(1)、(2)計算離子通量FXi及自由基通量FXR
FXi=100*Ion E/R/(Ion E/R+Radical E/R)‧‧‧‧(1)
FXR=100*Radical E/R/(Ion E/R+Radical E/R)‧‧‧‧(2)
在此,Ion E/R、Radical E/R係上述SiO2/光阻(PR)之蝕刻中之PR及SiO2之蝕刻速率。
如圖18所示,已知固定可變電容器步進數CPI,變更電極間間隙,藉此可不大變更自由基通量FXR而任意且大幅變更離子通量FXi
且如圖19A(間隙170mm時)及圖19B(間隙87mm時)所示,已知固定電極間間隙,使可變電容器步進數CPI廣範圍地(橫跨低頻側之非共振區域LES與高頻側之非共振區域HES)擺盪為「36」(基準)與「93」~「134」(避開共振區域RES),藉此可以可變方式控制離子通量FXi及自由基通量FXR雙方。已知特別是電極間間隙愈狹窄,如圖19B(間隙87mm時)所示,愈可廣範圍地控制通量。
如此,即使作為電極間間隙調整功能之提升價粒子獨立控制性之補助功能,亦可活用可變電容器96之可變電容器步進數調整功能。
本發明之電容耦合型電漿處理裝置不限定於如上述實施形態之電漿蝕刻裝置,可適用於進行電漿CVD、電漿ALD、電漿氧化、電漿氮化、濺鍍等任意電漿處理之電容耦合型電漿處理裝置。依本發明之被處理基板不限於半導體晶圓,亦可係平面顯示器、有機EL、太陽能電池用各種基板、或光罩、CD基板、印刷基板等。

Claims (4)

  1. 一種電漿處理方法,使用一電漿處理裝置對基板施行所希望之電漿處理,該電漿處理裝置包含:處理容器,可真空排氣;外側上部電極,於該處理容器內呈環狀設置,並與載置該基板之下部電極對向;內側上部電極,以絕緣方式配置於該外側上部電極之徑向內側;處理氣體供給部,將處理氣體供給至該外側上部電極及該內側上部電極與該下部電極之間的處理空間;第1高頻電源,輸出頻率適於產生該處理氣體之電漿之第1高頻波;第1供電部,具有沿環繞方向連續地連接於該外側上部電極之筒狀導電構件,經由該筒狀導電構件對該外側上部電極施加來自該第1高頻電源之該第1高頻波;第2供電部,具有連接於該內側上部電極之中心的棒狀之中心導電構件,來自該第1高頻電源之該第1高頻波,自該第1供電部分叉,經由該中心導電構件對該內側上部電極供給;可變電容器,設於該第2供電部,用以調整通過該外側上部電極對該電漿所供給之電力與通過該內側上部電極對該電漿所供給之電力的比值;及可變電容器控制部,用來透過控制變數,以可變方式控制該可變電容器之電容值;且於該可變電容器具有既定共振區域內之電容值的情形時,包含該第1及第2供電部、在該外側上部電極與該內側上部電極之間所形成之固定電容器、及該可變電容器之閉電路相對於該第1高頻波成實質上共振狀態;該電漿處理方法之特徵在於:選擇性地使用該可變電容器之低於該共振區域之第1區域內之電容值與高於該共振區域之第2區域內之電容值,以實行該電漿處理;在實行該基板電漿處理之間,通過連接於該第1供電部的Vpp偵測電路,針對供給到該外側上部電極及該內側上部電極的該第1高頻波之峰對峰值(Vpp)進行監視;且 根據預先儲存的「顯示該可變電容器之控制變數、與該峰對峰值(Vpp)兩者之相關關係的資料」之表,在該可變電容器之該控制變數快要進入共振區域時實行連鎖。
  2. 如申請專利範圍第1項之電漿處理方法,其特徵為:依照該內側上部電極之高度,以可變方式控制該可變電容器之電容值。
  3. 一種電漿處理方法,使用電漿處理裝置對基板施行所希望之電漿處理,該電漿處理裝置包含:處理容器,可真空排氣;外側上部電極,於該處理容器內呈環狀設置,並與載置該基板之下部電極對向;內側上部電極,以絕緣方式配置於該外側上部電極之徑向內側;處理氣體供給部,朝該外側上部電極及該內側上部電極與該下部電極之間之處理空間供給處理氣體;第1高頻電源,輸出頻率適於產生該處理氣體之電漿之第1高頻波;第1供電部,具有沿環繞方向連續地連接於該外側上部電極之筒狀導電構件,經由該筒狀導電構件對該外側上部電極施加來自該第1高頻電源之該第1高頻波;第2供電部,具有連接於該內側上部電極之中心的棒狀之中心導電構件,來自該第1高頻電源之該第1高頻波,自該第1供電部分叉,經由該中心導電構件對該內側上部電極供給;可變電容器,設於該第2供電部,用以調整通過該外側上部電極對該電漿所供給之電力與通過該內側上部電極對該電漿所供給之電力的比值;可變電容器控制部,用來以步進方式控制該可變電容器之電容值;及電極間間隙調整部,用來以可變方式調整該外側上部電極及該內側上部電極與該下部電極之間之電極間間隙;且於該可變電容器具有既定共振區域內之電容值的情形時,包含該第1及第2供電部、在該外側上部電極與該內側上部電極之間所形成之固定電容器、及該可變電容器之閉電路相對於該第1高頻波成實質上共振狀態;該電漿處理方法之特徵在於: 為了控制該電漿處理中的處理特性之面內分布,併用而進行「由該可變電容器控制部所進行『該可變電容器的涵蓋低於該共振區域之第1區域、與高於該共振區域之第2區域兩區域內之電容值』的可變調整」、以及「由該電極間間隙調整部所進行之該電極間間隙的可變調整」。
  4. 如申請專利範圍第1至3項中任一項之電漿處理方法,其特徵為:在對於該基板之1次電漿處理中,交互切換該第1區域內之電容值與該第2區域內之電容值。
TW102139963A 2012-11-05 2013-11-04 電漿處理方法 TWI595528B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012243356A JP6120527B2 (ja) 2012-11-05 2012-11-05 プラズマ処理方法

Publications (2)

Publication Number Publication Date
TW201423828A TW201423828A (zh) 2014-06-16
TWI595528B true TWI595528B (zh) 2017-08-11

Family

ID=50626922

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102139963A TWI595528B (zh) 2012-11-05 2013-11-04 電漿處理方法

Country Status (5)

Country Link
US (1) US9502219B2 (zh)
JP (1) JP6120527B2 (zh)
KR (1) KR102036950B1 (zh)
TW (1) TWI595528B (zh)
WO (1) WO2014068974A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5819154B2 (ja) * 2011-10-06 2015-11-18 株式会社日立ハイテクノロジーズ プラズマエッチング装置
KR102352739B1 (ko) * 2014-04-09 2022-01-17 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처
SG11201608771WA (en) * 2014-05-09 2016-11-29 Ev Group E Thallner Gmbh Method and device for plasma treatment of substrates
US9697990B2 (en) * 2015-11-16 2017-07-04 Tokyo Electron Limited Etching method for a structure pattern layer having a first material and second material
JP6556046B2 (ja) * 2015-12-17 2019-08-07 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP6784530B2 (ja) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
JP6643950B2 (ja) * 2016-05-23 2020-02-12 東京エレクトロン株式会社 プラズマ処理方法
KR101842127B1 (ko) 2016-07-29 2018-03-27 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP6785171B2 (ja) * 2017-03-08 2020-11-18 株式会社日本製鋼所 成膜方法および電子装置の製造方法並びにプラズマ原子層成長装置
TWI826925B (zh) * 2018-03-01 2023-12-21 美商應用材料股份有限公司 電漿源組件和氣體分配組件
JP7195307B2 (ja) 2018-05-02 2022-12-23 東京エレクトロン株式会社 上部電極およびプラズマ処理装置
US10770257B2 (en) * 2018-07-20 2020-09-08 Asm Ip Holding B.V. Substrate processing method
JP7089977B2 (ja) * 2018-08-02 2022-06-23 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマ処理装置
JP2020066764A (ja) 2018-10-23 2020-04-30 東京エレクトロン株式会社 成膜装置および成膜方法
CN113186529A (zh) * 2020-01-14 2021-07-30 深圳清华大学研究院 一种高精度低应力光学薄膜沉积方法及装置
JP2024049613A (ja) 2022-09-29 2024-04-10 東京エレクトロン株式会社 基板処理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200416874A (en) * 2002-11-26 2004-09-01 Tokyo Electron Ltd Plasma processing apparatus and method
TWI288583B (en) * 2002-03-25 2007-10-11 Mitsubishi Electric Corp Plasma processing apparatus
TW200903629A (en) * 2007-03-30 2009-01-16 Lam Res Corp Method and apparatus for inducing DC voltage on wafer-facing electrode
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US20100252199A1 (en) * 2007-03-30 2010-10-07 Alexei Marakhtanov Multifrequency capacitively coupled plasma etch chamber

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4753276B2 (ja) 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20060021580A1 (en) * 2004-06-02 2006-02-02 Tokyo Electron Limited Plasma processing apparatus and impedance adjustment method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI288583B (en) * 2002-03-25 2007-10-11 Mitsubishi Electric Corp Plasma processing apparatus
TW200416874A (en) * 2002-11-26 2004-09-01 Tokyo Electron Ltd Plasma processing apparatus and method
TW200903629A (en) * 2007-03-30 2009-01-16 Lam Res Corp Method and apparatus for inducing DC voltage on wafer-facing electrode
US20100252199A1 (en) * 2007-03-30 2010-10-07 Alexei Marakhtanov Multifrequency capacitively coupled plasma etch chamber
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring

Also Published As

Publication number Publication date
JP6120527B2 (ja) 2017-04-26
US9502219B2 (en) 2016-11-22
WO2014068974A1 (ja) 2014-05-08
US20150262794A1 (en) 2015-09-17
TW201423828A (zh) 2014-06-16
KR102036950B1 (ko) 2019-10-25
KR20150082196A (ko) 2015-07-15
JP2014093436A (ja) 2014-05-19

Similar Documents

Publication Publication Date Title
TWI595528B (zh) 電漿處理方法
TWI622081B (zh) 電漿處理裝置及電漿處理方法
JP4584565B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR100926380B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP4753276B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP4482308B2 (ja) プラズマ処理装置及びプラズマ処理方法
TWI505354B (zh) Dry etching apparatus and dry etching method
KR100886982B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
TWI677010B (zh) 電漿處理裝置
US8513563B2 (en) Plasma processing apparatus and plasma processing method
CN101800161B (zh) 等离子体蚀刻方法和等离子体蚀刻装置
WO2020004048A1 (ja) 基板処理方法
TWI469212B (zh) Plasma etching method
TWI452626B (zh) A plasma processing apparatus and a plasma processing method, and a memory medium
TWI408744B (zh) Plasma processing device and plasma processing method
JP6807775B2 (ja) 成膜方法及びプラズマ処理装置
JP2009123934A (ja) プラズマ処理装置
JP2010168663A (ja) プラズマ処理装置
JP5695117B2 (ja) プラズマエッチング方法
JP2000345339A (ja) スパッタ成膜応用のためのプラズマ処理装置
KR101088969B1 (ko) 플라즈마 처리 장치 및 플라즈마 에칭 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees