KR20150082196A - 플라즈마 처리 방법 - Google Patents

플라즈마 처리 방법 Download PDF

Info

Publication number
KR20150082196A
KR20150082196A KR1020157008544A KR20157008544A KR20150082196A KR 20150082196 A KR20150082196 A KR 20150082196A KR 1020157008544 A KR1020157008544 A KR 1020157008544A KR 20157008544 A KR20157008544 A KR 20157008544A KR 20150082196 A KR20150082196 A KR 20150082196A
Authority
KR
South Korea
Prior art keywords
upper electrode
plasma
variable capacitor
high frequency
electrode
Prior art date
Application number
KR1020157008544A
Other languages
English (en)
Other versions
KR102036950B1 (ko
Inventor
요시히데 기하라
마사야 가와마타
도시오 하가
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150082196A publication Critical patent/KR20150082196A/ko
Application granted granted Critical
Publication of KR102036950B1 publication Critical patent/KR102036950B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

[과제] 기판을 배치하는 하부 전극과 대향하여 배치되는 내측 상부 전극 및 외측 상부 전극에 고주파 전력을 분배 공급하는 용량 결합형 플라즈마 처리 장치에 있어서, 외측/내측 전력 분배비를 조절하기 위해서 설치되는 가변 콘덴서의 플라즈마 밀도 분포 특성 또는 프로세스 특성의 면내 프로파일의 제어에 대한 조정 노브로서의 기능을 향상시킨다.
[해결수단] 이 플라즈마 처리 장치에서는, 외측/내측 전력 분배비의 조절에 이용하는 가변 콘덴서의 바리콘 스텝 선택 범위를 공진 영역(RES)을 피하면서 저영역측의 비공진 영역(LES)과 고영역측의 비공진 영역(HES)에 걸쳐 광범위하게 확장함으로써, 플라즈마 밀도 분포나 프로세스 특성의 면내 프로파일을 직경 방향으로 제어하기 위한 조정 노브로서의 효과를 향상시킨다.

Description

플라즈마 처리 방법{PLASMA PROCESSING METHOD}
본 발명은, 기판을 배치하는 하부 전극과 대향하여 배치되는 내측 및 외측의 상부 전극에 분배 공급되는 고주파 전력의 비를 가변으로 제어하는 용량 결합형 플라즈마 처리 장치에 있어서의 플라즈마 처리 방법에 관한 것이다.
반도체 디바이스나 FPD(Flat Panel Display)의 제조 프로세스에 있어서의 에칭, 퇴적, 산화, 스퍼터링 등의 미세 가공 또는 처리에는, 처리 가스에 비교적 저온에서 양호한 반응을 하게 하기 위해서 플라즈마가 이용되고 있다. 통상 플라즈마의 생성에는 방전이 이용되며, 플라즈마 처리 장치는, 고주파 방전을 이용하는 것과, 마이크로파 방전을 이용하는 것으로 크게 나뉜다. 고주파 방전 방식은, 또한, 처리 용기 속에 평행 평판 전극을 설치하는 용량 결합형과, 처리 용기의 주위에 나선형 또는 소용돌이형의 전극을 부착하는 유도 결합형으로 분류된다. 이들 몇가지 플라즈마 생성 방식 중에서, 용량 결합형이 양산용 장치 및 디바이스 개발용 장치의 주류가 되고 있다.
용량 결합형 플라즈마 처리 장치는, 감압 가능한 처리 용기 또는 반응 용기 내에 상부 전극과 하부 전극을 평행하게 배치하고, 하부 전극 위에 피처리 기판, 예컨대 반도체 웨이퍼를 탑재하여, 상부 전극 혹은 하부 전극에 정합기를 통해 소정 주파수의 고주파를 인가한다. 이 고주파에 의해서 생성된 고주파 전계에 의해 전자가 가속되고, 전자와 처리 가스의 분자·원자와의 해리·전리 충돌에 의해서 플라즈마가 발생하여, 플라즈마 중의 라디칼이나 이온에 의해서 웨이퍼 표면에 원하는 플라즈마 처리(예컨대 에칭 가공)가 실시된다.
플라즈마 프로세스에 있어서, 프로세스의 (면내) 균일성은 수율 향상의 기본 요건이고, 또한 반도체 디바이스의 미세화의 진전이나 반도체 웨이퍼의 대구경화에 따라 점점더 중요성이 증대하면서, 요구되는 레벨이 높아지고 있다. 이 점에서, 종래의 용량 결합형 플라즈마 처리 장치는, 반도체 웨이퍼 상의 플라즈마 밀도의 균일성이 프로세스의 균일성을 크게 좌우하므로, 플라즈마 생성에 제공하는 전극, 특히 고주파가 인가되는 전극(고주파 전극)의 구조에 대해 강구하고 있다.
그 전형적인 예의 하나로서, 기판을 배치하는 하부 전극과 대향하는 상부 전극을 반경 방향에 있어서 내측의 전극과 외측의 전극으로 분할하여, 양 전극에 분배하는 플라즈마 생성용 고주파 전력의 비를 가변으로 제어할 수 있도록 한 용량 결합형 플라즈마 처리 장치가 알려져 있다(특허문헌 1).
이 용량 결합형 플라즈마 처리 장치에서는, 플라즈마 생성용 고주파를 출력하는 고주파 전원의 출력 단자에, 외측 상부 전극을 통 형상의 도전 부재를 통해 전기적으로 접속하는 동시에, 내측 상부 전극을 봉(棒)형상의 중심 도전 부재를 통해 전기적으로 접속한다. 그리고, 중심 도전 부재의 도중에 가변 콘덴서를 삽입하여, 스텝 모터 등에 의해 그 가변 콘덴서의 캐패시턴스를 가변으로 제어하도록 하고 있다.
이 경우, 가변 콘덴서의 캐패시턴스를 크게 할수록, 외측 상부 전극에 분배 공급되는 전력(즉, 외측 상부 전극을 통해 플라즈마에 투입되는 전력)(Po)과, 내측 상부 전극에 분배 공급되는 전력(즉, 내측 상부 전극을 통해 플라즈마에 투입되는 전력)(Pi)과의 비(외측/내측 전력 분배비)(Po/Pi)가 작아진다. 반대로, 가변 콘덴서의 캐패시턴스를 작게 할수록, 외측/내측 전력 분배비(Po/Pi)가 커진다. 이에 따라, 가변 콘덴서의 캐패시턴스를 가변으로 제어하여, 챔버 내의 직경 방향으로 플라즈마 밀도 분포나 프로세스 특성의 프로파일을 제어할 수 있다.
일본 특허공개 2004-193566
상기한 바와 같이 반경 방향으로 분할된 내측 및 외측의 2개의 상부 전극에 고주파 전력을 분배하는 방식의 용량 결합형 플라즈마 처리 장치에서는, 가변 콘덴서의 캐패시턴스 가변 범위 중에, 제어 불가능한 영역, 즉 공진 영역이 불가피하게 존재한다. 즉, 내측 상부 전극과 외측 상부 전극 사이에 존재하는 정전 용량(고정 콘덴서)을 통해, 고주파 전원으로부터의 고주파를 이들 양 상부 전극에 분배하는 각각의 고주파 급전부 사이에 폐회로가 형성된다. 이 폐회로는 이들 전극 사이의 정전 용량(고정 콘덴서) 및 가변 콘덴서를 포함할 뿐만 아니라, 각 고주파 급전부의 도체에 부수되는 인덕턴스도 포함하므로, 가변 콘덴서의 캐패시턴스가 어떤 값을 취할 때에, 공진 상태가 된다. 이 공진점 및 그 부근에서는, 가변 콘덴서의 캐패시턴스를 조금 바꾸더라도 외측/내측 전력 분배비(Po/Pi)가 급준한 변화율(경사)로 크게 변화하기 때문에 미세 조정이 어려울 뿐만 아니라, 폐회로 내부에 대전류가 흐름으로써 가변 콘덴서가 파손될 우려가 있다.
이 때문에, 종래에는, 공진점 및 그 부근의 영역(공진 영역)을 피해, 한쪽의 영역(통상은 공진 영역보다 낮은 영역)에서만 가변 콘덴서의 캐패시턴스를 가변으로 제어하도록 하고 있지만, 현실적으로는 외측/내측 전력 분배비(Po/Pi)의 가변 범위 또는 다이내믹 레인지가 작기 때문에, 플라즈마 밀도 분포나 프로세스 특성의 프로파일을 반경 방향으로 제어하기 위한 조정 노브로서의 효과가 다소 부족했다.
본 발명은 상기와 같은 종래 기술의 문제점을 해결하는 것으로, 기판을 배치하는 하부 전극과 대향하여 배치되는 내측 상부 전극 및 외측 상부 전극에 고주파 전력을 분배 공급하는 용량 결합형 플라즈마 처리 장치에 있어서, 외측/내측 전력 분배비를 조절하기 위해서 설치되는 가변 콘덴서의 플라즈마 밀도 분포 특성 또는 프로세스 특성의 면내 프로파일의 제어에 대한 조정 노브로서의 기능을 대폭 향상시키는 플라즈마 처리 방법을 제공한다.
본 발명의 제1 관점에서의 플라즈마 처리 장치는, 진공 배기 가능한 처리 용기와, 상기 처리 용기 내에서 기판을 배치하는 하부 전극과 대향하도록 링 형상으로 설치되는 외측 상부 전극과, 상기 외측 상부 전극의 직경 방향 내측에 절연하여 배치되는 내측 상부 전극과, 상기 외측 상부 전극 및 상기 내측 상부 전극과 상기 하부 전극 사이의 처리 공간에 처리 가스를 공급하는 처리 가스 공급부와, 상기 처리 가스의 플라즈마를 생성하기에 적합한 주파수를 갖는 제1 고주파를 출력하는 제1 고주파 전원과, 상기 외측 상부 전극에 둘레 방향으로 연속적으로 접속되는 제1 통형 도전 부재를 가지며, 상기 제1 고주파 전원으로부터의 상기 제1 고주파를 상기 제1 통형 도전 부재를 통해 상기 외측 상부 전극에 인가하는 제1 급전부와, 상기 내측 상부 전극의 중심에 접속되는 봉형상의 중심 도전 부재를 가지며, 상기 제1 고주파 전원으로부터의 상기 제1 고주파를 상기 제1 급전부로부터 분기하여 상기 중심 도전 부재를 통해 상기 내측 상부 전극에 공급하는 제2 급전부와, 상기 외측 상부 전극을 통해 상기 플라즈마에 공급되는 전력과 상기 내측 상부 전극을 통해 상기 플라즈마에 공급되는 전력과의 비를 조정하기 위해서, 상기 제2 급전부에 설치되는 가변 콘덴서와, 상기 가변 콘덴서의 캐패시턴스를 단계적으로 제어하기 위한 가변 콘덴서 제어부를 가지며, 상기 가변 콘덴서가 미리 정해진 공진 영역 내의 캐패시턴스 값을 취하는 경우에, 상기 제1 및 제2 급전부와, 상기 외측 상부 전극과 상기 내측 상부 전극 사이에 형성되는 고정 콘덴서와, 상기 가변 커패시터를 포함하는 폐회로가 상기 제1 고주파에 대하여 실질적으로 공진 상태가 되는 플라즈마 처리 장치를 이용하여, 상기 기판에 원하는 플라즈마 처리를 실시하는 플라즈마 처리 방법으로서, 상기 가변 콘덴서의 상기 공진 영역보다 낮은 제1 영역 내의 캐패시턴스 값과 상기 공진 영역보다 높은 제2 영역 내의 캐패시턴스 값을 선택적으로 이용하여 상기 플라즈마 처리를 실행한다.
상기한 구성에서는, 가변 콘덴서의 캐패시턴스(바리콘(variable condensor) 스텝) 선정 범위를 저영역측의 비공진 영역과 고영역측의 비공진 영역 양쪽으로 확장함으로써, 플라즈마 밀도 분포 특성 또는 프로세스 특성의 면내 프로파일을 보다 자유로우면서 다양하게 제어할 수 있다.
본 발명의 제2 관점에서의 플라즈마 처리 장치는, 진공 배기 가능한 처리 용기와, 상기 처리 용기 내에서 기판을 배치하는 하부 전극과 대향하도록 링 형상으로 설치되는 외측 상부 전극과, 상기 외측 상부 전극의 직경 방향 내측에 절연하여 배치되는 내측 상부 전극과, 상기 외측 상부 전극 및 상기 내측 상부 전극과 상기 하부 전극 사이의 처리 공간에 처리 가스를 공급하는 처리 가스 공급부와, 상기 처리 가스의 플라즈마를 생성하기에 적합한 주파수를 갖는 제1 고주파를 출력하는 제1 고주파 전원과, 상기 외측 상부 전극에 둘레 방향으로 연속적으로 접속되는 제1 통형 도전 부재를 가지며, 상기 제1 고주파 전원으로부터의 상기 제1 고주파를 상기 제1 통형 도전 부재를 통해 상기 외측 상부 전극에 인가하는 제1 급전부와, 상기 내측 상부 전극의 중심에 접속되는 봉형상의 중심 도전 부재를 가지며, 상기 제1 고주파 전원으로부터의 상기 제1 고주파를 상기 제1 급전부로부터 분기하여 상기 중심 도전 부재를 통해 상기 내측 상부 전극에 공급하는 제2 급전부와, 상기 외측 상부 전극을 통해 상기 플라즈마에 공급되는 전력과, 상기 내측 상부 전극을 통해 상기 플라즈마에 공급되는 전력과의 비를 조정하기 위해서, 상기 제2 급전부에 설치되는 가변 콘덴서와, 상기 가변 콘덴서의 캐패시턴스를 단계적으로 제어하기 위한 가변 콘덴서 제어부와, 상기 외측 상부 전극 및 상기 내측 상부 전극과 상기 하부 전극 사이의 전극간 갭을 가변으로 조정하기 위한 전극간 갭 조정부를 가지며, 상기 가변 콘덴서가 미리 정해진 공진 영역 내의 캐패시턴스 값을 취하는 경우에, 상기 제1 및 제2 급전부와, 상기 외측 상부 전극과 상기 내측 상부 전극 사이에 형성되는 고정 콘덴서와, 상기 가변 커패시터를 포함하는 폐회로가 상기 제1 고주파에 대하여 실질적으로 공진 상태가 되는 플라즈마 처리 장치를 이용하여, 상기 기판에 원하는 플라즈마 처리를 실시하는 플라즈마 처리 방법으로서, 상기 전극간 갭에 따라서, 상기 가변 콘덴서의 상기 공진 영역보다 낮은 제1 영역 내의 캐패시턴스 값과 상기 공진 영역보다 높은 제2 영역 내의 캐패시턴스 값을 선택적으로 이용하여 상기 플라즈마 처리를 실행한다.
상기한 구성에서는, 전극간 갭 조정 기능과 병용하여, 본 발명에서의 바리콘 스텝 가변 조정 기능의 유용성을 더욱 확장할 수 있다.
본 발명의 플라즈마 처리 방법에 따르면, 상기와 같은 구성 및 작용에 의해, 기판을 배치하는 하부 전극과 대향하여 배치되는 내측 상부 전극 및 외측 상부 전극에 고주파 전력을 분배 공급하는 용량 결합형 플라즈마 처리 장치에 있어서, 외측/내측 전력 분배비를 조절하기 위해서 설치되는 가변 콘덴서의 플라즈마 밀도 분포 특성 또는 프로세스 특성의 면내 프로파일의 제어에 대한 조정 노브로서의 기능을 대폭 향상시킬 수 있다.
도 1은 본 발명의 일 실시형태에 있어서의 플라즈마 처리 장치의 구성을 도시하는 단면도이다.
도 2는 도 1의 플라즈마 처리 장치에 있어서의 고주파 급전부의 구성을 도시하는 도면이다.
도 3은 상기 고주파 급전부의 등가 회로를 도시하는 회로도이다.
도 4a는 상기 등가 회로에 있어서의 바리콘 용량-브랜치 전류 특성을 도시하는 그래프 도면이다.
도 4b는 도 4a의 일부(공진 영역)를 확대하여 도시하는 부분 확대 그래프 도면이다.
도 5는 상기 등가 회로에 있어서의 내측 전류 및 외측 전류의 특성을 대비하여 도시하는 도면이다.
도 6은 가변 콘덴서에 있어서의 바리콘 용량과 바리콘 스텝의 대응 관계를 도시하는 도면이다.
도 7은 가변 콘덴서의 바리콘 스텝과 고주파의 VPP와의 상관 관계를 도시하는 도면이다.
도 8은 일 실시예에 있어서의 에칭 가공의 실험에서 얻어진 웨이퍼 상의 에칭 레이트 특성(면내 프로파일)을 도시하는 도면이다.
도 9a는 실시형태에 있어서의 바리콘 스텝 가변 조정 기능의 한 작용을 도시하는 도면이다.
도 9b는 상기 바리콘 스텝 가변 조정 기능의 다른 작용을 도시하는 도면이다.
도 10은 일 실시예에 있어서의 다층막 에칭의 실험에서 얻어진 에칭 형상의 단면 SEM 사진을 모사한 도면이다.
도 11은 비교예에서 얻어진 웨이퍼 상의 에칭 레이트 특성(면내 프로파일)을 도시하는 도면이다.
도 12는 일 실시예에서 얻어진 웨이퍼 상의 에칭 레이트 특성(면내 프로파일)을 도시하는 도면이다.
도 13은 실시형태에 있어서의 바리콘 스텝 가변 조정 기능의 한 작용을 도시하는 도면이다.
도 14는 실시형태의 일 변형예에 의한 플라즈마 처리 장치의 구성을 도시하는 단면도이다.
도 15a는 전극간 갭이 170 mm(고정)이고 바리콘 스텝을 가변한 경우의 포토레지스트(PR) 에칭 레이트 특성을 도시하는 도면이다.
도 15b는 전극간 갭이 170 mm(고정)이고 바리콘 스텝을 가변한 경우의 SiO2 에칭 레이트 특성을 도시하는 도면이다.
도 16a는 전극간 갭이 130 mm(고정)이고 바리콘 스텝을 가변한 경우의 PR 에칭 레이트 특성을 도시하는 도면이다.
도 16b는 전극간 갭이 130 mm(고정)이고 바리콘 스텝을 가변한 경우의 SiO2 에칭 레이트 특성을 도시하는 도면이다.
도 17a는 전극간 갭이 87 mm(고정)이고 바리콘 스텝을 가변한 경우의 PR 에칭 레이트 특성을 도시하는 도면이다.
도 17b는 전극간 갭이 87 mm(고정)이고 바리콘 스텝을 가변한 경우의 SiO2 에칭 레이트 특성을 도시하는 도면이다.
도 18은 실시형태에 있어서의 바리콘 스텝 조정 기능과 전극간 갭 조정 기능의 병용 유용성을 도시하는 그래프이다.
도 19a는 실시형태에 있어서의 바리콘 스텝 조정 기능과 전극간 갭 조정 기능의 병용 유용성을 도시하는 그래프이다.
도 19b는 실시형태에 있어서의 바리콘 스텝 조정 기능과 전극간 갭 조정 기능의 병용 유용성을 도시하는 그래프이다.
이하, 첨부 도면을 참조하여 본 발명의 적합한 실시형태를 설명한다.
도 1에 본 발명의 일 실시형태에 있어서의 플라즈마 처리 장치의 구성을 도시한다. 이 플라즈마 처리 장치는 용량 결합형 플라즈마 에칭 장치로서 구성되어 있고, 예컨대 표면이 알루마이트 처리(양극 산화 처리)된 알루미늄으로 이루어지는 원통형의 챔버(처리 용기)(10)를 갖고 있다. 챔버(10)는 접지되어 있다.
챔버(10)의 중앙부에는, 피처리 기판으로서 예컨대 반도체 웨이퍼(W)를 탑재하는 원판형의 서셉터(12)가 고주파 전극을 겸하는 기판 유지대로서 수평으로 배치되어 있다. 이 서셉터(12)는 예컨대 알루미늄으로 이루어지고, 챔버(10)의 바닥으로부터 수직 상측으로 뻗는 절연성의 통형 지지부(14)에 지지되어 있다.
절연성 통형 지지부(14)의 외주를 따라서 챔버(10)의 바닥으로부터 수직 상측으로 뻗는 도전성의 통형 지지부(16)와 챔버(10)의 내벽 사이에 환상의 배기로(18)가 형성되고, 이 배기로(18)의 상부 또는 입구에 환상의 배플판(20)이 부착되며, 바닥부에 배기 포트(22)가 설치되어 있다. 챔버(10) 내의 가스의 흐름을 서셉터(12) 상의 반도체 웨이퍼(W)에 대하여 축 대칭으로 균일하게 하기 위해서는, 배기 포트(22)를 원주 방향으로 등간격으로 복수개 설치하는 구성이 바람직하다.
각 배기 포트(22)에는 배기관(24)을 통해 배기 장치(26)가 접속되어 있다. 배기 장치(26)는 터보 분자 펌프 등의 진공 펌프를 갖고 있어, 챔버(10) 내의 플라즈마 처리 공간을 원하는 진공도까지 감압할 수 있다. 챔버(10)의 측벽 밖에는, 반도체 웨이퍼(W)의 반입반출구(27)를 개폐하는 게이트 밸브(28)가 부착되어 있다.
서셉터(12)에는, RF 바이어스용의 하부 고주파 전원(30)이 하부 정합기(32) 및 하부 급전봉(34)을 통해 전기적으로 접속되어 있다. 하부 고주파 전원(30)은 반도체 웨이퍼(W)에 인입하는 이온 에너지를 제어하기에 적합한 일정 주파수(통상 13.56 MHz 이하, 예컨대 2 MHz)의 고주파(RFL)를 가변의 파워로 출력할 수 있게 되어 있다. 하부 정합기(32)는 하부 고주파 전원(30)측 임피던스와 부하(주로 서셉터, 플라즈마, 챔버)측 임피던스 사이에서 정합을 취하기 위한 리액턴스 가변의 정합 회로를 수용하고 있다.
서셉터(12)의 상면에는, 반도체 웨이퍼(W)를 정전 흡착력으로 유지하기 위한 정전 척(36)이 설치되고, 정전 척(36)의 반경 방향 외측에 반도체 웨이퍼(W)의 주위를 환상으로 둘러싸는 포커스 링(38)이 설치된다. 정전 척(36)은 도전막으로 이루어지는 전극(36a)을 한 쌍의 절연막(36b, 36c) 사이에 끼운 것이고, 전극(36a)에는 고압의 직류 전원(40)이 스위치(42) 및 피복선(43)을 통해 전기적으로 접속되어 있다. 직류 전원(40)으로부터 인가되는 고압의 직류 전압에 의해, 정전기력으로 반도체 웨이퍼(W)를 정전 척(36) 상에 흡착 유지할 수 있다.
서셉터(12)의 내부에는, 예컨대 원주 방향으로 뻗는 환상의 냉매실 또는 냉매 유로(44)가 형성되어 있다. 이 냉매 유로(44)에는, 칠러 유닛(도시하지 않음)으로부터 배관(46, 48)을 통해 소정 온도의 냉매, 예컨대 냉각수(cw)가 순환 공급된다. 냉매의 온도에 의해서 정전 척(36) 상의 반도체 웨이퍼(W)의 처리중 온도를 제어할 수 있다. 이것과 관련하여, 전열 가스 공급부(도시하지 않음)로부터의 전열 가스, 예컨대 He 가스가 가스 공급관(50)을 통해 정전 척(36)의 상면과 반도체 웨이퍼(W)의 이면 사이에 공급된다. 또한, 반도체 웨이퍼(W)의 로딩/언로딩을 위해 서셉터(12)를 수직 방향으로 관통하여 위아래 이동할 수 있는 리프트 핀 및 그 승강 기구(도시하지 않음) 등도 설치되어 있다.
서셉터(하부 전극)(12)의 상측에는, 이 서셉터와 평행하게 대향하는 상부 전극(52)이 설치되어 있다. 양 전극(12, 52) 사이의 공간은 플라즈마 생성 공간 내지 처리 공간(PS)이다. 상부 전극(52)은 서셉터(하부 전극)(12) 상의 반도체 웨이퍼(W)와 대향하여 플라즈마 생성 공간(PS)과 접하는 면, 즉 대향면을 형성한다. 상부 전극(52)은 서셉터(12)와 소정의 간격을 두고서 대향 배치되어 있는 링 형상 또는 도넛 형상의 외측(outer) 상부 전극(54)과, 이 외측 상부 전극(54)의 반경 방향 내측에 절연하여 배치되어 있는 원판 형상의 내측(inner) 상부 전극(56)으로 구성된다.
도 2에, 이 플라즈마 처리 장치에 있어서의 상부 고주파 급전부의 구성을 도시한다. 도 2에 도시하는 바와 같이, 외측 상부 전극(54)과 내측 상부 전극(56) 사이에는 예컨대 0.25~2.0 mm의 환상 갭(간극)이 형성되고, 이 갭에 예컨대 석영으로 이루어지는 유전체(58)가 설치된다. 또한, 이 갭에 세라믹(60)을 설치할 수도 있다. 이 유전체(58)를 사이에 두고서 양 전극(54, 56) 사이에 고정 콘덴서(정전 용량)(C58)가 형성된다. 이 콘덴서(C58)의 캐패시턴스는 갭의 사이즈와 유전체(58)의 유전률에 따라서 원하는 값으로 선정되거나 또는 조정된다. 외측 상부 전극(54)과 챔버(10)의 측벽 사이에는, 예컨대 알루미나(Al2O3)로 이루어지는 링 형상의 절연성 차폐 부재(62)가 기밀하게 부착되어 있다.
외측 상부 전극(54)은 줄(Joule) 열이 적은 저저항의 도전체 또는 반도체, 예컨대 실리콘으로 구성되는 것이 바람직하다. 외측 상부 전극(54)에는, 상부 고주파 전원(72)이 상부 정합기(64), 제1 상부 급전봉(66), 커넥터(68) 및 급전통(통형 도전 부재)(70)을 통해 전기적으로 접속되어 있다. 상부 고주파 전원(72)은, 처리 가스의 방전, 즉 플라즈마의 생성에 적합한 주파수(통상 27 MHz 이상, 예컨대 60 MHz)의 고주파(RFH)를 출력한다. 상부 정합기(64)는 상부 고주파 전원(72)측 임피던스와 부하(주로 서셉터, 플라즈마, 챔버)측 임피던스 사이에서 정합을 취하기 위한 리액턴스 가변의 정합 회로를 수용하고 있다. 상부 정합기(64)의 출력 단자는 제1 상부 급전봉(66)의 상단에 접속되어 있다.
급전통(70)은 원통형 또는 원추형 혹은 이들에 가까운 형상의 도전판, 예컨대 알루미늄판 또는 동판으로 이루어지고, 하단이 둘레 방향으로 연속적으로 외측 상부 전극(54)에 접속되고, 상단이 커넥터(68)에 의해서 제1 상부 급전봉(66)의 하단부에 전기적으로 접속되어 있다. 급전통(70)의 외측에서는, 챔버(10)의 측벽이 상부 전극(52)의 높이 위치보다도 위쪽으로 뻗어 원통형의 접지 도체(10a)를 구성하고 있다. 이 원통형 접지 도체(10a)의 상단부는 통 형상의 절연 부재(73)에 의해 제1 상부 급전봉(66)으로부터 전기적으로 절연되어 있다. 이러한 구성에서는, 커넥터(68)로부터 본 부하 회로에 있어서, 급전통(70) 및 외측 상부 전극(54)과 원통형 접지 도체(10a)에 의해 전자(前者)(70, 54)를 도파로로 하는 동축 선로가 형성된다.
다시 도 1에서, 내측 상부 전극(56)은, 다수의 가스 분출 구멍(74a)을 갖는 예컨대 Si, SiC 등의 반도체 재료로 이루어지는 전극판(74)과, 이 전극판(74)을 착탈 가능하게 지지하는 도전 재료, 예컨대 표면이 알루마이트 처리된 알루미늄으로 이루어지는 전극 지지체(76)를 갖는다. 전극 지지체(76)의 내부에는, 예컨대 O 링으로 이루어지는 환상 격벽 부재(78)로 분할된 2개의 가스 도입실, 즉 중심 가스 도입실(80)과 주변 가스 도입실(82)이 마련되어 있다. 중심 가스 도입실(80)과 그 하면에 형성되는 다수의 가스 분출 구멍(74a)에 의해 중심 샤워 헤드가 구성되고, 주변 가스 도입실(82)과 그 하면에 형성되는 다수의 가스 분출 구멍(74a)에 의해 주변 샤워 헤드가 구성되어 있다.
이들 가스 도입실(80, 82)에는, 공통의 처리 가스 공급원(84)으로부터 처리 가스가 원하는 유량비로 공급되도록 되어 있다. 보다 상세하게는, 처리 가스 공급원(84)으로부터의 가스 공급관(86)이 도중에 2개의 분기관(86a, 86b)으로 나뉘어 가스 도입실(80, 82)에 접속되고, 분기관(86a, 86b)의 도중에 유량 제어 밸브(88a, 88b)가 각각 설치되어 있다. 처리 가스 공급원(84)으로부터 가스 도입실(80, 82)까지의 유로의 컨덕턴스는 같기 때문에, 유량 제어 밸브(88a, 88b)의 조정에 의해, 양 가스 도입실(80, 82)에 공급되는 처리 가스의 유량비를 임의로 조정할 수 있게 되어 있다. 한편, 가스 공급관(86)의 도중에는 매스 플로우 컨트롤러(MFC)(90) 및 개폐 밸브(92)가 설치되어 있다.
이와 같이, 중심 가스 도입실(80)과 주변 가스 도입실(82)에 도입되는 처리 가스의 유량비를 조정함으로써, 중심 가스 도입실(80)에 대응하는 전극 중심부의 가스 분출 구멍(74a), 즉 중심 샤워 헤드로부터 분출하는 가스의 유량과 주변 가스 도입실(82)에 대응하는 전극 주변부의 가스 분출 구멍(74a), 즉 주변 샤워 헤드로부터 분출하는 가스의 유량과의 비를 임의로 조정할 수 있게 되어 있다. 한편, 중심 샤워 헤드 및 주변 샤워 헤드로부터 각각 분출하는 처리 가스의 단위 면적당 유량을 다르게 하는 것도 가능하다. 또한, 중심 샤워 헤드 및 주변 샤워 헤드로부터 각각 분출하는 처리 가스의 가스종 또는 가스 혼합비를 독립적으로 또는 별개로 선정하는 것도 가능하다.
상부 고주파 전원(72)은 상부 정합기(64), 제1 상부 급전봉(66), 커넥터(68) 및 제2 상부 급전봉(중심 도전 부재)(94)을 통해, 내측 상부 전극(56)의 전극 지지체(76)에 전기적으로 접속되어 있다. 제2 상부 급전봉(94)의 도중에는, 캐패시턴스를 가변으로 제어할 수 있는 가변 콘덴서(96)가 설치되어 있다. 이 가변 콘덴서(96)의 캐패시턴스는, 주제어부(98)에 의해 스텝 모터(M)(100)를 통해 일정 범위 내에서 가변으로 제어되도록 되어 있다.
내측 상부 전극(56)에는, 상부 고주파 전원(72)으로부터의 고주파(60 MHz)는 통과시키지 않고서 하부 고주파 전원(30)으로부터의 고주파(2 MHz)는 그라운드에 통과시키기 위한 로우 패스 필터(LPF)(102)가 전기적으로 접속되어 있다. 이 로우 패스 필터(LPF)(102)는, 적합하게는 LR 필터 또는 LC 필터로 구성되어도 좋지만, 1 라인의 도선만으로도 상부 고주파 전원(72)으로부터의 고주파(60 MHz)에 대해서는 충분히 큰 리액턴스를 부여할 수 있기 때문에, 그것으로 해결할 수도 있다. 한편, 서셉터(12)에는, 상부 고주파 전원(72)으로부터의 고주파(60 MHz)를 그라운드에 통과시키기 위한 하이 패스 필터(HPF)(104)가 전기적으로 접속되어 있다.
주제어부(98)는 CPU나 메모리 등을 포함하는 컴퓨터 시스템으로 이루어지고, 장치 내의 각 부, 특히 고주파 전원(30, 72), 처리 가스 공급원(84) 및 정합기(32, 64), 스텝 모터(M)(100) 등의 개개의 동작과 전체의 동작(시퀀스)을 제어한다.
또한, 이 플라즈마 처리 장치에서는, 상부 고주파 전원(72)으로부터 상부 전극(52)(54, 56)에 인가되는 고주파(RFH)의 피크 대 피크 값(VPP)을 검출하기 위한 피크치 검출 회로(106)가 상부 정합기(64)의 출력측의 제1 상부 급전봉(66)에 접속되어 있다. 주제어부(98)는 피크치 검출 회로(106)로부터 VPP 측정치(MVPP)를 수신하고, 수신한 VPP 측정치(MVPP)를 가변 콘덴서(96)의 캐패시턴스 가변 조정에 이용하고, 나아가서는 후술하는 인터로크에 이용할 수 있다.
이 플라즈마 처리 장치에 있어서, 예컨대 에칭을 행하기 위해서는, 우선 게이트 밸브(28)를 열린 상태로 하여 가공 대상인 반도체 웨이퍼(W)를 챔버(10) 내에 반입하고, 정전 척(36) 위에 탑재한다. 그리고, 이어서, 배기 장치(26)에 의해 챔버(10) 내부를 배기하고, 처리 가스 공급원(84)으로부터 에칭 가스(일반적으로 혼합 가스)를 소정의 유량 및 유량비로 가스 도입실(80, 82)에 도입하여, 배기 장치(26)에 의해 챔버(10) 내의 압력을 설정치로 한다. 이어서, 하부 고주파 전원(30)으로부터 RF 바이어스용 고주파(2 MHz)(RFL)를 소정의 파워로 서셉터(16)에 인가하고, 이어서 상부 고주파 전원(72)으로부터도 플라즈마 생성용 고주파(60 MHz)(RFH)를 소정의 파워로 상부 전극(52)(54, 56)에 인가한다. 또한, 전열 가스 공급부로부터 정전 척(36)과 반도체 웨이퍼(W) 사이의 접촉 계면에 전열 가스(He 가스)를 공급하고, 정전 척용 스위치(42)를 온으로 하여, 정전 흡착력에 의해 전열 가스를 상기 접촉 계면에 가둔다. 내측 상부 전극(56)의 가스 분출 구멍(74a)으로부터 토출된 에칭 가스는 처리 공간(PS) 내에서 상부 전극(52)(54, 56)으로부터의 고주파 전계 하에서 방전하여, 플라즈마가 생성된다. 이 플라즈마에 포함되는 라디칼이나 이온에 의해서 반도체 웨이퍼(W)의 피처리면이 에칭된다.
도 3에, 이 플라즈마 처리 장치에 있어서의 상부 고주파 급전부의 등가 회로를 도시한다. 이 등가 회로에 있어서, 인덕터(L70)는 급전통(70)의 인덕턴스를 가지며, 인덕터(L94)는 제2 상부 급전봉(94)의 인덕턴스를 가지며, 고정 콘덴서(C58)는 유전체(58)를 사이에 두고서 외측 상부 전극(54)과 내측 상부 전극(56) 사이에 형성되는 정전 용량을 가진다. 이들 인덕터(L70, L94), 고정 콘덴서(C58) 및 가변 콘덴서(96)(C96)는 폐루프의 LC 회로를 형성한다. 또한, 저항(Ro, Ri) 및 콘덴서(Co, Ci)는 외측 상부 전극(54) 및 내측 상부 전극(56)의 바로 아래에 형성되는 이온 시스(ion sheath)의 저항 및 캐패시턴스를 각각 나타내고 있다. 귀환 회로의 인덕터(L10)는 챔버(10)의 인덕턴스를 갖고 있다.
이 등가 회로에서는, 가변 콘덴서(96)의 캐패시턴스 또는 바리콘 용량(C96)에 의존하여 각 브랜치(가지)에 흐르는 전류의 전류치가 변화한다. 도 4a, 도 4b에 이 바리콘 용량-브랜치 전류 특성의 일례를 도시한다. 도면에서는, 횡축에 가변 콘덴서(96)의 바리콘 용량(C96)의 값을 나타내고, 종축에 각 브랜치의 전류(i1, i2, i3, i4, i5)의 전류치를 나타내고 있다. 여기서, i1은 가변 콘덴서(96) 및 인덕터(L94)가 있는 제1 브랜치(BR1)에 흐르는 전류, i2는 인덕터(L70)가 있는 제2 브랜치(BR2)에 흐르는 전류, i3은 저항(Ri) 및 콘덴서(Ci)가 있는 제3 브랜치(BR3)에 흐르는 전류, i4는 저항(Ro) 및 콘덴서(Co)가 있는 제4 브랜치(BR4)에 흐르는 전류, i5는 고정 콘덴서(C58)가 있는 제5 브랜치(BR5)에 흐르는 전류이다.
도 4a에 도시하는 바와 같이, 가변 콘덴서(96)의 바리콘 용량(C96)이 약 130 pF 이하인 영역에 있을 때(C96<130 pF일 때)는 바리콘 용량(C96)의 값을 어떻게 바꾸더라도 각 부의 전류(i1, i2, i3, i4, i5)는 그다지 변하지 않는다. 특히, 내측 상부 전극(56)으로부터 플라즈마에 공급되는 제3 브랜치(BR3)의 전류(i3)는 거의 변화하지 않는다. 또한, 외측 상부 전극(54)으로부터 플라즈마에 공급되는 제4 브랜치(BR4)의 전류(i4)는 C96<120 pF인 영역에서는 거의 변화하지 않고, C96이 120 pF 부근을 넘으면 완만히 감소하기 시작한다. 한편, 제5 브랜치(BR5)의 전류(i5)는 부(負)극성이며, 도 3의 화살표와 반대 방향으로 흐른다.
그러나, 바리콘 용량(C96)이 130 pF 부근을 넘으면, 제1 및 제5 브랜치(BR1, BR5)의 전류(i1, i5)가 각각 급준한 커브로 지수함수적으로 증대되는 한편, 제2 브랜치(BR2)의 전류(i2)는 급준한 커브로 지수함수적으로 감소하고, 제4 브랜치(BR4)의 전류(i4)도 약간 급준한 커브로 지수함수적으로 감소한다. 그러나, 제3 브랜치(BR3)의 전류(i3)는 거의 변하지 않고서 그때까지의 값을 유지하고, 바리콘 용량(C96)이 공진점(C96≒152 pF)의 매우 가까이에(약 150 pF) 오고 나서 점차 감소한다.
도 4a 및 도 4b에 도시하는 바와 같이, 도시하는 예에서는, 제1 및 제5 브랜치(BR1, BR5)의 전류(i1, i5)가 공진점(C96≒152 pF) 부근에서 최대치 또는 극대치에 달하고, 그로부터 바리콘 용량(C96)이 약간 변화(증대)하면 일거에 최소치 또는 극소치로 반전하고, 그로부터 바리콘 용량(C96)의 증대와 함께 지수함수적으로 증대하여, C96>160 pF의 영역에서는 바리콘 용량(C96)의 증대와 함께 각각 일정한 값(포화치)에 점차 가까워진다. 다만, 제1 브랜치(BR1)의 전류(i1)는 C96<130 pF일 때와는 역방향으로 된다. 또한, 제5 브랜치(BR5)의 전류(i5)는 흐르는 방향은 C96<130 pF일 때와 변함이 없지만, 그 전류치(포화치)는 C96<130 pF일 때보다도 커진다.
제2 및 제4 브랜치(BR2, BR4)의 전류(i2, i4)는, 공진점(C96≒152 pF) 부근에서 최소치 또는 극소치에 달하고, 그로부터 바리콘 용량(C96)이 약간 변화(증대)하면 일거에 최대치 또는 극대치로 반전하며, 그로부터 바리콘 용량(C96)의 증대와 함께 지수함수적으로 감소하고, C96>160 pF의 영역에서는 바리콘 용량(C96)의 증대와 함께 각각 일정한 값(포화치)에 점차 가까워진다. 단, 제2 및 제4 브랜치(BR2, BR4)의 전류(i2, i4)는 모두 C96<130 pF일 때보다 커진다.
한편, 제3 브랜치(BR3)의 전류(i3)는, 공진점(C96≒152 pF) 부근에서 최소치 또는 극소치에 달하고, 그로부터 바리콘 용량(C96)의 증대와 함께 지수함수적으로 증대하지만, 최대치 또는 극대치로 반전하지 않고 C96<130 pF일 때와 대략 동일한 값에 점차 가까워진다.
도시하는 예에서는, 공진점(C96≒152 pF)을 중심으로 대략 142 pF<C96<160 pF의 영역이 공진 영역(REC)이다. 이 공진 영역(REC)에서는, 상기한 바와 같이, 바리콘 용량(C96)을 조금 바꾸더라도, 상부 고주파 급전부의 브랜치(BR1~BR5)에 각각 흐르는 전류(i1~i5)가 크게 변화한다. 따라서, 외측 상부 전극(54) 및 내측 상부 전극(56)의 전력 분배비, 즉 외측/내측 전력 분배비(Po/Pi)에 대응하는 제4 및 제3 브랜치(BR4, BR3)에 흐르는 전류(i4, i3)의 비, 즉 외측/내측 전류비(i4/i3)도 급준한 변화율(경사)로 크게 변화한다. 이 때문에 미세 조정이 어렵다.
또한, 공진 영역(REC) 내에서는, 제1 브랜치(BR1)의 전류(i1)가 최대치(극대치)와 최소치(극소치) 사이에서 크게 흔들리기 때문에, 가변 콘덴서(96)가 파손될 우려가 있다. 따라서, 이 플라즈마 처리 장치에 있어서, 바리콘 용량(C96)을 공진 영역(REC) 내에 세팅하여 플라즈마 처리를 하거나, 혹은 한창 플라즈마 처리를 하고 있는 중에 바리콘 용량(C96)의 값을 공진 영역(REC) 내에 넣거나, 또는 공진 영역(REC)을 통과하도록 가변 조정하는 것은 매우 바람직하지 않기 때문에, 주제어부(98)에서 인터로크가 걸리도록 되어 있다.
도 5에, 제3 브랜치(BR3)의 전류(내측 전류)(i3) 및 제4 브랜치(BR4)의 전류(외측 전류)(i4)의 각각의 특성을 도 4a, 도 4b의 그래프 중에서 발췌해서, 대비하여 도시한다. 한편, 횡축에는, 가변 콘덴서(96)의 바리콘 스텝(CPI)의 값을 나타내고 있다. 여기서, 바리콘 스텝(CPI)이란, 주제어부(98)가 스텝 모터(M)(100)를 통해 가변 콘덴서(96)의 바리콘 용량(C96)을 가변으로 제어하는 데에 있어서, 주제어부(98)로부터 보이는(즉 직접 제어 가능한) 가변 콘덴서(96)측의 제어 변수이며, 통상은 일정 범위 내의 연속된 스텝 번호(정수)로 주어진다. 그리고, 가변 콘덴서(96)의 바리콘 용량(C96)과 바리콘 스텝(CPI) 사이에는, 도 6에 도시하는 바와 같이 선형적인 대응 관계가 있다. 바리콘 용량(C96)의 공진 영역(REC)(142 pF<C96<160 pF)에 대응하는 바리콘 스텝(CPI)의 공진 영역(RES)은 94<CPI<109이다.
도 5에 도시하는 바와 같이, 공진 영역(RES)보다 낮은 저영역측(제1)의 비공진 영역(LES)(CPI<94)에서는, 내측 전류(i3)의 전류치는 거의 변화하지 않고 대략 일정(약 24 암페어)하며, 이에 대하여 외측 전류(i4)의 전류치는 공진 영역(RES)에 근접하면, 즉 바리콘 스텝(CPI)이 70을 넘으면, 그때까지의 포화치(약 8 암페어)로부터 완만한 커브로 단조롭게 감소한다. 따라서, 저영역측의 비공진 영역(LES)(CPI<94)에 있어서, 외측/내측 전류비(i4/i3)는, 바리콘 스텝(CPI)이 70 이하일 때는 바리콘 스텝(CPI)을 어떻게 바꾸더라도 거의 변화하지 않고 대략 일정(약 0.33)하며, 바리콘 스텝(CPI)이 70을 넘으면 점차로 저하하여, 공진 영역(RES)의 바로 앞(CPI=93)에서 약 0.15가 된다. 즉, 외측/내측 전류비(i4/i3)의 가변 범위는 약 0.15~약 0.33이다.
또한, 공진 영역(RES)보다 높은 고영역측(제2)의 비공진 영역(HES)(CPI>109)에서는, 내측 전류(i3)의 전류치는 거의 변화하지 않고 대략 일정(약 24 암페어)하며, 이에 대하여 외측 전류(i4)의 전류치는 공진 영역(RES)으로부터 멀어짐에 따라서 완만한 커브로 단조롭게 감소하여 일정한 포화치(약 12 암페어)에 점차 가까워진다. 따라서, 고영역측의 비공진 영역(HES)(CPI>109)에서의 외측/내측 전류비(i4/i3)의 가변 범위는 약 0.48~약 0.50이다.
한편, 비공진 영역(LES, HES)에서는 외측/내측 전류비(i4/i3)가 항상 i4/i3<1이며, 외측/내측 전력 분배비(Po/Pi)도 항상 Po/Pi<1이다. 그러나, 외측 상부 전극(54) 바로 아래의 전계 강도(Eo)와 내측 상부 전극(56) 바로 아래의 전계 강도(Ei)와의 비, 즉 외측/내측 전계 강도비(Eo/Ei)는 항상 Eo/Ei>1이다. 즉, 내측 상부 전극(56)의 면적(S56)에 비해서 외측 상부 전극(54)의 면적(S54)이 각별히 작기 때문에(통상 1/10 이하), 외측 상부 전극(54)에 있어서의 단위 면적당 RF 파워 밀도(Po/S54)(나아가서는 바로 아래의 전계 강도 Eo)는 내측 상부 전극(56)에 있어서의 단위 면적당 RF 파워 밀도(Pi/S56)(나아가서는 바로 아래의 전계 강도 Ei)보다도 높다.
이와 같이, 가변 콘덴서(96)의 실질적인 사용 영역인 저영역의 비공진 영역(LES)(CPI<94) 및 고영역측의 비공진 영역(HES)(CPI>109) 중 어디에서나, 외측/내측 전류비(i4/i3)의 가변 범위 또는 다이내믹 레인지는 크지 않다. 종래에는, 한쪽의 영역, 특히 저영역측의 비공진 영역(LES)(CPI<94)만을 사용하였기 때문에, 외측/내측 전력 분배비(Po/Pi)를 생각대로 가변시킬 수 없고, 따라서 플라즈마 밀도 분포나 에칭 특성을 직경 방향으로 제어하기 위한 조정 노브로서의 효과가 다소 부족했다.
이런 점에 관해서, 이 실시형태에서는, 저영역측의 비공진 영역(LES)(I<94) 및 고영역측의 비공진 영역(HES)(CPI>109)을 선택적으로 이용함으로써, 가변 콘덴서(96)의 사용 영역을 확장하여, 후술하는 바와 같이 플라즈마 밀도 분포나 프로세스 특성의 면내 프로파일을 직경 방향으로 제어하기 위한 조정 노브로서의 효과를 향상시키고 있다.
[실시예 1]
본 발명자들은 이 실시형태에서의 플라즈마 처리 장치에 있어서, 가변 콘덴서(96)의 바리콘 스텝(CPI)을 「36」, 「93」, 「110」, 「130」의 네 가지로 선택하여, SiO2막을 마스크로 하는 포토레지스트막 에칭 실험을 했다. 이 포토레지스트막 에칭에서는, 주된 프로세스 조건으로서, 에칭 가스에 O2/Ar의 혼합 가스(유량 20/200 sccm)를 사용하고, 챔버(10) 내의 압력을 10 mTorr, 상부 고주파 전원(72)의 출력을 500 W, 하부 고주파 전원(30)의 출력을 300 W로 했다.
한편, 가변 콘덴서(96)의 바리콘 스텝(CPI)과 고주파(RFH)의 피크 대 피크 값(Vpp) 사이에는, 도 7에 도시하는 바와 같은 상관 관계가 있다. 주제어부(98)는 이 상관 관계의 데이터를 메모리 상의 테이블에 갖고 있으며, Vpp 검출 회로(106)를 통해 Vpp를 모니터하여, 플라즈마 처리중에 가변 콘덴서(96)의 바리콘 스텝(CPI)이 공진 영역(RE)에 들어갈 것 같을 때에는 인터로크를 걸 수 있다.
도 8에, 이 에칭 가공의 실험에서 얻어진 웨이퍼 상의 에칭 레이트 특성(면내 프로파일)을 도시한다. 도시하는 바와 같이, 바리콘 스텝(CPI)으로서 저영역측의 비공진 영역(LES) 내의 「93」을 선택하면, 웨이퍼 상의 에칭 레이트는 웨이퍼 엣지부보다도 웨이퍼 중심부에서 상대적으로 높아진다. 그러나, 저영역측의 비공진 영역(LES) 내의 「36」을 선택하면, 웨이퍼 엣지부보다도 웨이퍼 중심부가 높아지는 경향은 변하지 않지만, 상대적인 차는 꽤 감소한다. 그리고, 바리콘 스텝(CPI)으로서 고영역측의 비공진 영역(HES) 내의 「130」을 선택하면, 웨이퍼 상의 에칭 레이트는 웨이퍼 중심부보다도 웨이퍼 엣지부에서 상대적으로 높아지고, 고영역측의 비공진 영역(HES) 내의 「110」을 선택하면, 그 경향은 한층 더 현저하게 된다.
또한, 이 실시형태에서는, 1회의 에칭 가공중에, 바리콘 스텝(CPI)의 저영역측의 비공진 영역(LES) 내의 값과 고영역측의 비공진 영역(HES)의 값으로 교대로 전환함으로써, 중간 특성을 얻을 수 있다.
예컨대, 에칭 가공의 소요 시간을 T라고 하면, 바리콘 스텝(CPI)을 「36」과 「130」으로 반반(T/2)씩 전환함으로써, 도 9a에 도시하는 바와 같이, 바리콘 스텝(CPI)이 「36」인 경우의 특성과 바리콘 스텝(CPI)이 「130」인 경우의 특성의 딱 가운데의 중간 특성 M36 /130을 얻을 수 있다. 혹은, 바리콘 스텝(CPI)을 「93」과 「110」으로 반반(T/2)씩 전환함으로써, 도 9b에 도시하는 바와 같이, 바리콘 스텝(CPI)이 「93」인 경우의 특성과 바리콘 스텝(CPI)이 「110」인 경우의 특성의 딱 가운데의 중간 특성 M93 /110을 얻을 수 있다. 각각의 할당 시간은 반반(T/2)에 한정되지 않고, 예컨대 바리콘 스텝(CPI)을 저영역측의 비공진 영역(LES) 내의 값으로 유지하는 시간에 2/3 T를 할당하고, 고영역측의 비공진 영역(HES) 내의 값으로 유지하는 시간에 1/3 T를 할당함으로써, 바리콘 스텝(CPI)을 저영역측의 비공진 영역(LES) 내의 값으로 시종 유지한 경우에 얻어지는 특성 부근의 중간 특성을 얻을 수 있다.
한편, 에칭 가공중에, 저영역측의 비공진 영역(LES)과 고영역측의 비공진 영역(HES) 사이에서 바리콘 스텝(CPI)을 전환할 때는, 에칭 처리를 일시 중단한다. 이 중단 시간은 통상 1~2초 이내이며, 에칭 특성이나 에칭 처리 결과에는 전혀 영향을 주지 않는다.
[실시예 2]
본 발명자들은 이 실시형태에서의 플라즈마 처리 장치에 있어서, 가변 콘덴서(96)의 바리콘 스텝(CPI)을 「36」, 「88」, 「110」의 세 가지로 선택하여, 반사 방지막(Si) 및 유기막(카본)의 다층막 에칭 실험을 했다. 제1 공정의 반사 방지막(Si) 에칭에서는, 처리 가스에 CF4/CHF3/O2의 혼합 가스(유량 150/75/35 sccm)를 사용하고, 챔버(10) 내의 압력을 10 mTorr, 상부 고주파 전원(72)의 출력을 500 W, 하부 고주파 전원(30)의 출력을 50 W로 했다. 제2 공정의 유기막(카본) 에칭에서는, 처리 가스에 O2/Ar의 혼합 가스(유량 20/200 sccm)를 사용하고, 챔버(10) 내의 압력을 10 mTorr, 상부 고주파 전원(72)의 출력을 500 W, 하부 고주파 전원(30)의 출력을 300 W로 했다.
도 10에, 이 다층막 에칭의 실험에서 얻어진 에칭 형상의 단면 SEM 사진을 모사한 도면을 도시한다. 이 다층막 에칭에서는, 제1 공정의 반사 방지막(Si) 에칭에 의해서 반사 방지막(Si)에 전사된 패턴이 제2 공정의 유기막(카본) 에칭에서는 마스크로 이용된다. 이 경우, 반사 방지막(Si)에 있어서의 마스크의 변형(a/b)의 변동은 에칭 형상의 면내 균일성에 크게 영향을 준다.
이 점에 관해서는, 도 10에 도시하는 바와 같이, 웨이퍼 중심부와 웨이퍼 엣지부 사이의 변동량(|Δa|+|Δb|)은, 가변 콘덴서(96)의 바리콘 스텝(CPI)으로서 저영역측의 비공진 영역(LES) 내의 「36」, 「88」을 선택한 경우는 각각 17.2, 18.4이고, 고영역측의 비공진 영역(HES) 내의 「110」을 선택한 경우는 5.3이었다.
한편, a는 세로 방향의 마스크 변형이고, Δa는 a의 웨이퍼 중심부의 값과 웨이퍼 엣지부의 값의 차분이다. b는 가로 방향의 마스크 변형이고, Δb는 b의 웨이퍼 중심부의 값과 웨이퍼 엣지부의 값의 차분이다. 예컨대, 바리콘 스텝(CPI)을 「110」으로 선택한 경우는, |Δa|+|Δb|=|53.6-50.6|+|23.5-21.2|=5.3이다.
또한, 「웨이퍼 중심부」는 웨이퍼의 중심으로부터 반경 방향 0 mm의 위치이고, 「웨이퍼 엣지부」는 웨이퍼의 중심으로부터 145 mm의 위치(엣지로부터 5 mm 내측의 위치)이다.
이와 같이, 가변 콘덴서(96)의 바리콘 스텝(CPI)의 선정 범위를 저영역측의 비공진 영역(LES)과 고영역측의 비공진 영역(HES) 양쪽으로 확장함으로써, 다층막 에칭에 있어서의 마스크 변형을 억제하기에 최적의 값의 바리콘 스텝(CPI)을 취득할 수 있다.
[실시예 3]
본 발명자들은 이 실시형태의 플라즈마 처리 장치에 있어서, 상부 전극(52)(54, 56)의 마모에 따라서 플라즈마 밀도 분포 특성 또는 프로세스 특성의 면내 프로파일이 변화하는 현상(특성)을 가변 콘덴서(96)의 바리콘 스텝 조정에 의해서 능란하게 보정하거나 또는 캔슬할 수 있는 것도 실험으로 밝혀냈다.
이 실험에서는, 비교예로서, 가변 콘덴서(96)의 바리콘 스텝(CPI)을 「36」에 고정하고, 내측 상부 전극(56)의 전극판(74)의 두께(CEL)를 「15 mm」, 「10 mm」, 「3 mm」의 세 가지로 선택하여, 포토레지스트의 에칭을 했다. 또한, 실시예로서, 전극판(74)의 두께(CEL)를 「15 mm」, 「10 mm」, 「3 mm」으로 선택한 경우에 가변 콘덴서(96)의 바리콘 스텝(CPI)을 각각 「36」, 「120」, 「112」로 선택하고, 다른 것은 동일한 조건으로, 포토레지스트의 에칭을 했다. 이 실험에서는, 처리 가스에 O2/Ar의 혼합 가스(유량 20/200 sccm)를 사용하고, 챔버(10) 내의 압력을 10 mTorr, 상부 고주파 전원(72)의 출력을 500 W, 하부 고주파 전원(30)의 출력을 300 W로 했다.
도 11에 비교예에서 얻어진 웨이퍼 상의 에칭 레이트 특성(면내 프로파일)을 도시한다. 도시하는 바와 같이, 바리콘 스텝(CPI)을 「36」으로 고정한 경우, 전극판(74)의 두께(CEL)가 신품일 때의 두께에 상당하는 「15 mm」일 때는, 웨이퍼 중심부가 푹 꺼지는 프로파일이 된다. 그러나, 전극판(74)의 두께(CEL)가 수명의 반을 지났을 쯤의 두께에 상당하는 「10 mm」일 때는, 웨이퍼 중심부의 꺼짐이 작은 프로파일이 된다. 그리고, 전극판(74)의 두께가 수명을 끝낼 쯤의 두께에 상당하는 「3 mm」일 때는, 웨이퍼 중심부의 꺼짐이 없어져 대략 편평한 프로파일이 된다.
이 실시형태의 플라즈마 처리 장치에서는, 상부 고주파 전원(72)보다 주파수가 높은 플라즈마 생성용 고주파(RFH)가 상부 전극(52)(54, 56)에 인가되기 때문에, 주파수가 낮은 이온 인입용 고주파(RFL)가 인가되는 서셉터(하부 전극판)(12) 정도는 아니지만, 상부 전극(52)(54, 56)에도 자기 바이어스 전압이 발생하여, 그 바로 아래에 생성되는 시스의 전계(E0, Ei)에 의해서 플라즈마로부터 이온이 입사한다. 이 이온 충격에 의해서, 상부 전극(52)(54, 56)의 표면이 스퍼터되어, 전극의 두께(CEL)가 시간이 경과함에 따라서 감소한다(소모된다).
비교예에서는, 전극판(74)의 두께가 감소할수록 에칭 레이트의 면내 균일성이 향상되는 결과로 되고는 있다. 그러나, 프로세스의 재현성의 관점에서는, 바람직한 것이 아니다. 프로세스의 재현성의 관점에서는, 전극판(74)의 두께(CEL)에 관계없이 동일한 프로파일을 얻을 수 있는 것이 바람직하다.
이 점에 관해서는, 실시예와 같이, 전극판(74)의 두께(CEL)가 「15 mm」부터 「10 mm」, 「3 mm」으로 얇아지는 경우에, 가변 콘덴서(96)의 바리콘 스텝(CPI)을 저영역측의 비공진 영역(LES) 내의 「36」으로부터 고영역측의 비공진 영역(HES) 내의 「120」, 「112」로 변경하면, 도 12에 도시하는 바와 같이, 에칭 레이트의 면내 프로파일을 일정하게 유지할 수 있다는 것을 알 수 있었다.
도 13에, 전극판(74)의 두께(CEL)의 시간 경과에 따른 변화에 대하여 상기 포토레지스트(PR)의 에칭 프로세스에 있어서의 에칭 레이트의 면내 프로파일(특히 웨이퍼 중심부/엣지부)을 실제의 프로세스 관리 중에 일정하게 유지하기 위한 바리콘 스텝 가변 조정의 수법을 도시한다.
도시하는 바와 같이, 전극판(74)의 두께가 감소할수록 에칭 레이트(E/R)의 웨이퍼 중심부와 엣지부의 비(C/E비)가 전체적으로 상승한다. 여기서, 전극판(74)의 두께(CEL)가 신품인 「15 mm」일 때, E/R의 C/E비가 0.8인 경우는, 그 후의 전극판(74)의 두께(CEL)의 시간 경과에 따른 변화(마모도)를 모니터하지 않더라도, E/R의 C/E비를 0.8로 유지하도록 바리콘 스텝(CPI)의 값을 조정하면 된다. 이 바리콘 스텝 가변 조정은, 소프트웨어 및 데이터베이스에 기초하여 주제어부(98)의 제어 하에서 실시되면 된다.
한편, 이 바리콘 스텝 가변 조정에 있어서, 저영역측의 비공진 영역(LES)(내의 하한치)으로부터 고영역측의 비공진 영역(HES)(내의 상한치)으로 이행하는 과정에서 「0.8」의 E/R의 C/E비에 대응하는 바리콘 스텝(CPI)이 존재하지 않는 기간이 잠시 존재한다. 이 경우는, 1회의 에칭 프로세스 중에, 저영역측의 비공진 영역(LES) 내의 어떤 값(예컨대 CPI=30)과 고영역측의 비공진 영역(HES) 내의 어떤 값(예컨대 CPI=150)을 예컨대 반반으로(T/2씩) 전환하는 방법을 적합하게 채용할 수 있다.
[다른 실시형태 또는 변형예]
상기 실시형태에 있어서의 용량 결합형 플라즈마 처리 장치(도 1)는, 챔버(10) 내에서 서셉터(12)를 일정한 높이 위치에 고정하고 있었다. 그러나, 도 14에 도시하는 바와 같이, 예컨대 챔버(10) 내에서 서셉터(12)를 위아래로 이동 또는 변위할 수 있게 구성함으로써, 상부 전극(52)과 서셉터(하부 전극)(12)의 전극간 갭을 가변으로 조정할 수 있다. 본 발명에 의한 가변 콘덴서(96)의 바리콘 스텝 조정 기능은, 그와 같은 전극간 갭 조정 기능과 합쳐져, 이하에 설명하는 바와 같이, 플라즈마 밀도 분포나 프로세스 특성의 면내 프로파일의 제어에 대한 조정 노브로서의 역할을 한층 더 확대할 수 있다.
본 발명자들은 전극간 갭을 「170 mm」, 「130 mm」, 「87 mm」의 세 가지로 선택하여, 각각의 전극간 갭의 값 하에서 가변 콘덴서(96)의 바리콘 스텝(CPI)을 「36」, 「93」, 「120」의 세 가지로 선택하여, 전술한 제1 실시예와 동일한 프로세스 조건에 의한 SiO2/포토레지스트(PR)의 에칭을 실시했다.
도 15a 및 도 15b에 도시하는 바와 같이, 전극간 갭을 「170 mm」로 설정한 경우는, 바리콘 스텝(CPI)의 값(「36」, 「93」, 「120」)에 관계없이, SiO2 에칭 및 PR 에칭에 있어서의 에칭 레이트(E/R) 특성은 어느 쪽이나 대략 일정한 프로파일이 된다.
그러나, 도 16a 및 도 16b에 도시하는 바와 같이, 전극간 갭을 「130 mm」로 설정한 경우는, 바리콘 스텝(CPI)을 「36」, 「93」, 「120」로 광범위하게(저영역측의 비공진 영역(LES)과 고영역측의 비공진 영역(HES)에 걸쳐) 이동시킴으로써, SiO2의 E/R 특성의 프로파일 및 PR의 E/R 특성의 프로파일을 어느 쪽이나 편평한 방향으로 제어 가능하게 할 수 있다.
그리고, 도 17a 및 도 17b에 도시하는 바와 같이, 전극간 갭을 「87 mm」로 설정한 경우는, 바리콘 스텝(CPI)을 「36」, 「93」, 「120」으로 광범위하게(저영역측의 비공진 영역(LES)과 고영역측의 비공진 영역(HES)에 걸쳐) 이동시킴으로써, SiO2의 E/R 특성 프로파일 및 PR의 E/R 특성 프로파일의 어느 쪽이나 현저히 다양하게 제어할 수 있다. 즉, 웨이퍼 중심 하부와 웨이퍼 엣지부 사이에서, E/R 특성의 프로파일을 웨이퍼 중심부가 웨이퍼 엣지부보다도 낮은 골짜기 모양의 프로파일로 하는 것도, 웨이퍼 중심부와 웨이퍼 엣지부가 대략 같은 편평한 모양의 프로파일로 하는 것도, 혹은 웨이퍼 중심부가 웨이퍼 엣지부보다도 높은 산 모양의 프로파일로 하는 것도 가능하게 된다.
이와 같이, 전극간 갭을 좁게 할수록, 가변 콘덴서(96)의 바리콘 스텝 조정 기능에 의해 플라즈마 밀도 분포나 프로세스 특성의 면내 프로파일의 제어에 대한 조정 노브의 효과가 커지는 것을 검증할 수 있었다.
한편, 도 14의 장치 구성에서는, 서셉터(12)를 유전체 통형 지지부(14) 및 도체의 배판(背板)(110)을 통해 지지하고 있는 베이스(112)가 승강 가능한 가동 베이스로서 구성되어 있다.
가동 베이스(112)와 챔버(10)의 바닥벽(10b) 사이에는, 통 형상의 벨로우즈(114)가 마련되어 있다. 이 벨로우즈(114)는, 배플판(116)을 통해 플라즈마 생성 공간(처리 공간)(PS)와 연통하는 배기로(18)를 아래쪽으로 연장시키고, 배기로(18) 및 플라즈마 생성 공간(처리 공간)(PS)을 대기 공간으로부터 격리 또는 차단하고 있다.
벨로우즈(114)에 의해서 둘러싸인 공간 내에는, 상부 다리부(118), 환상 플레이트(120) 및 하부 다리부(122)가 세로 방향으로 연결되어 설치되어 있다. 상부 다리부(118)의 상단은 가동 베이스(112)의 하면에 결합되고, 상부 다리부(118)의 하단은 환상 플레이트(120)의 상면에 결합된다. 환상 플레이트(120)의 하면에는 하부 다리부(122)의 상단이 결합된다. 하부 다리부(122)의 하단은 링크(124)의 플레이트부(124a)에 결합된다.
링크(124)는 상기 플레이트부(124a) 및 2개의 주상부(柱狀部)(124b)를 포함하고 있다. 플레이트부(124a)는 챔버(10) 하부의 아래쪽에 설치되어 있다. 이 구성예에서는, 플레이트부(124a)에 하부 정합기(32)가 부착되어 있다.
플레이트부(124a), 환상 플레이트(120) 및 가동 베이스(112)에는 연직 방향으로 뻗는 관통 구멍이 각각 형성되어 있고, 하부 급전봉(40)은 이들 관통 구멍을 지나 도체 배판(110)의 하면까지 수직 방향으로 뻗어 있다.
주상부(124b)는 플레이트부(124a)의 주연부로부터 위쪽으로 뻗어 있다. 또한, 주상부(124b)는, 챔버(10) 밖에서 챔버(10)의 측벽(10c)과 대략 평행하게 뻗어 있다. 이들 주상부(124b)에는, 예컨대 볼 나사로 이루어지는 이송 기구가 접속되어 있다. 구체적으로는, 2개의 나사축(126)이 챔버 측벽(10c)의 외측에서 2개의 주상부(124b)와 대략 평행하게 뻗어 있다. 이들 나사축(126)은 2개의 모터(128)에 각각 접속되어 있다. 또한, 이들 나사축(126)에는 2개의 너트(130)가 각각 부착되어 있다. 이들 너트(130)에는 2개의 주상부(124b)가 각각 결합되어 있다.
이러한 승강 구동 기구에 따르면, 모터(128)를 회전시킴으로써, 너트(130)가 연직 방향으로 이동, 즉 상하 이동한다. 너트(130)의 상하 이동에 따라, 링크(124)에 가동 베이스(112)를 통해 간접적으로 지지되어 있는 서셉터(12)는 연직 방향으로 이동, 즉 상하 이동할 수 있다. 또한, 서셉터(12)의 상하 이동에 따라, 벨로우즈(114)가 신축한다. 그 결과, 서셉터(12)와 상부 전극(52) 사이의 거리, 즉 전극간 갭을 가변으로 조정할 수 있다.
본 발명자들은 가변 콘덴서(96)의 바리콘 스텝 조정 기능과 전극간 갭 조정 기능과의 병용(융합 관계)에 관해서는, 도 18의 그래프에 나타내는 바와 같이 다른 각도에서도 해석하고 있다. 이 그래프는, 바리콘 스텝(CPI)을 「36」에 고정하고, 전극간 갭을 「87 mm」로 설정한 경우의 이온 플럭스(FXi) 및 라디칼 플럭스(FXR)를 기준(원점)으로 하여, 전극간 갭을 「130 mm」, 「170 mm」로 바꾼 경우의 이온 플럭스(FXi)의 변화율 δFXi(횡축)과 라디칼 플럭스(FXR)의 변화율 δFXR(종축)을 각각 플롯으로 나타내고 있다. 한편, 이온 플럭스(FXi) 및 라디칼 플럭스(FXR)는 다음 식 (1), 식 (2)를 이용하여 계산했다.
FXi=100*Ion E/R/(Ion E/R + Radical E/R)····(1)
FXR=100*Radical E/R/(Ion E/R + Radical E/R) ····(2)
여기서, Ion E/R, Radical E/R는 상기 SiO2/포토레지스트(PR) 에칭에 있어서의 PR 및 SiO2의 에칭 레이트이다.
도 18에 도시하는 바와 같이, 바리콘 스텝(CPI)을 고정하고 전극간 갭을 바꿈으로써, 라디칼 플럭스(FXR)를 그다지 바꾸지 않고서 이온 플럭스(FXi)를 임의로 또한 크게 바꿀 수 있다는 것을 알 수 있었다.
또한, 도 19a(갭 170 mm의 경우) 및 도 19b(갭 87 mm의 경우)에 도시하는 바와 같이, 전극간 갭을 고정하고 바리콘 스텝(CPI)을 「36」(기준)과 「93」~「134」으로(공진 영역(RES)을 피해) 광범위하게(저영역측의 비공진 영역(LES)과 고영역측의 비공진 영역(HES)에 걸쳐) 이동시킴으로써, 이온 플럭스(FXi) 및 라디칼 플럭스(FXR) 양쪽을 가변으로 제어할 수 있다는 것을 알 수 있었다. 특히, 전극간 갭이 좁아질수록, 도 19b(갭 87 mm의 경우)에 도시하는 바와 같이, 플럭스를 광범위하게 제어할 수 있다는 것을 알 수 있었다.
이와 같이, 전극간 갭 조정 기능의 하전 입자 독립 제어성을 향상시키는 보조 기능으로서도, 가변 콘덴서(96)의 바리콘 스텝 조정 기능을 활용할 수 있다.
본 발명의 용량 결합형 플라즈마 처리 장치는, 상기 실시형태와 같은 플라즈마 에칭 장치에 한정되지 않고, 플라즈마 CVD, 플라즈마 ALD, 플라즈마 산화, 플라즈마 질화, 스퍼터링 등 임의의 플라즈마 프로세스를 행하는 용량 결합형 플라즈마 처리 장치에 적용할 수 있다. 본 발명에 있어서의 피처리 기판은 반도체 웨이퍼에 한정되는 것이 아니라, 플랫 패널 디스플레이, 유기 EL, 태양 전지용의 각종 기판이나, 포토마스크, CD 기판, 프린트 기판 등도 가능하다.
10: 챔버
12: 서셉터(하부 전극)
30: (이온 인입용)하부 고주파 전원
52: 상부 전극
54: 외측 상부 전극
56: 내측 상부 전극
58: 유전체
66: 제1 상부 급전봉
70: 급전통(통형 도전 부재)
72: (플라즈마 생성용)상부 고주파 전원
94: 제2 상부 급전봉(중심 봉형상 도전 부재)
96: 가변 콘덴서
98: 주제어부
100: 스텝 모터

Claims (4)

  1. 진공 배기 가능한 처리 용기와,
    상기 처리 용기 내에서 기판을 배치하는 하부 전극과 대향하도록 링 형상으로 설치되는 외측 상부 전극과,
    상기 외측 상부 전극의 직경 방향 내측에 절연하여 배치되는 내측 상부 전극과,
    상기 외측 상부 전극 및 상기 내측 상부 전극과 상기 하부 전극 사이의 처리 공간에 처리 가스를 공급하는 처리 가스 공급부와,
    상기 처리 가스의 플라즈마를 생성하기에 적합한 주파수를 갖는 제1 고주파를 출력하는 제1 고주파 전원과,
    상기 외측 상부 전극에 둘레 방향으로 연속적으로 접속되는 통형 도전 부재를 가지며, 상기 제1 고주파 전원으로부터의 상기 제1 고주파를 상기 통형 도전 부재를 통해 상기 외측 상부 전극에 인가하는 제1 급전부와,
    상기 내측 상부 전극의 중심에 접속되는 봉(棒)형상의 중심 도전 부재를 가지며, 상기 제1 고주파 전원으로부터의 상기 제1 고주파를 상기 제1 급전부로부터 분기하여 상기 중심 도전 부재를 통해 상기 내측 상부 전극에 공급하는 제2 급전부와,
    상기 외측 상부 전극을 통해 상기 플라즈마에 공급되는 전력과 상기 내측 상부 전극을 통해 상기 플라즈마에 공급되는 전력과의 비를 조정하기 위해서, 상기 제2 급전부에 설치되는 가변 콘덴서와,
    상기 가변 콘덴서의 캐패시턴스를 가변으로 제어하기 위한 가변 콘덴서 제어부를 가지며,
    상기 가변 콘덴서가 미리 정해진 공진 영역 내의 캐패시턴스 값을 취하는 경우에, 상기 제1 및 제2 급전부와, 상기 외측 상부 전극과 상기 내측 상부 전극 사이에 형성되는 고정 콘덴서와, 상기 가변 콘덴서를 포함하는 폐회로가 상기 제1 고주파에 대하여 실질적으로 공진 상태가 되는 플라즈마 처리 장치를 이용하여, 상기 기판에 원하는 플라즈마 처리를 실시하는 플라즈마 처리 방법으로서,
    상기 가변 콘덴서의 상기 공진 영역보다 낮은 제1 영역 내의 캐패시턴스 값과 상기 공진 영역보다 높은 제2 영역 내의 캐패시턴스 값을 선택적으로 이용하여 상기 플라즈마 처리를 실행하는 플라즈마 처리 방법.
  2. 제1항에 있어서, 상기 내측 상부 전극의 두께에 따라서, 상기 가변 콘덴서의 캐패시턴스 값을 가변으로 제어하는 플라즈마 처리 방법.
  3. 진공 배기 가능한 처리 용기와,
    상기 처리 용기 내에서 기판을 배치하는 하부 전극과 대향하도록 링 형상으로 설치되는 외측 상부 전극과,
    상기 외측 상부 전극의 직경 방향 내측에 절연하여 배치되는 내측 상부 전극과,
    상기 외측 상부 전극 및 상기 내측 상부 전극과 상기 하부 전극 사이의 처리 공간에 처리 가스를 공급하는 처리 가스 공급부와,
    상기 처리 가스의 플라즈마를 생성하기에 적합한 주파수를 갖는 제1 고주파를 출력하는 제1 고주파 전원과,
    상기 외측 상부 전극에 둘레 방향으로 연속적으로 접속되는 통형 도전 부재를 가지며, 상기 제1 고주파 전원으로부터의 상기 제1 고주파를 상기 통형 도전 부재를 통해 상기 외측 상부 전극에 인가하는 제1 급전부와,
    상기 내측 상부 전극의 중심에 접속되는 봉형상의 중심 도전 부재를 가지며, 상기 제1 고주파 전원으로부터의 상기 제1 고주파를 상기 제1 급전부로부터 분기하여 상기 중심 도전 부재를 통해 상기 내측 상부 전극에 공급하는 제2 급전부와,
    상기 외측 상부 전극을 통해 상기 플라즈마에 공급되는 전력과, 상기 내측 상부 전극을 통해 상기 플라즈마에 공급되는 전력과의 비를 조정하기 위해서, 상기 제2 급전부에 설치되는 가변 콘덴서와,
    상기 가변 콘덴서의 캐패시턴스를 단계적으로 제어하기 위한 가변 콘덴서 제어부와,
    상기 외측 상부 전극 및 상기 내측 상부 전극과 상기 하부 전극 사이의 전극간 갭을 가변으로 조정하기 위한 전극간 갭 조정부를 가지며,
    상기 가변 콘덴서가 미리 정해진 공진 영역 내의 캐패시턴스 값을 취하는 경우에, 상기 제1 및 제2 급전부와, 상기 외측 상부 전극과 상기 내측 상부 전극 사이에 형성되는 고정 콘덴서와, 상기 가변 커패시터를 포함하는 폐회로가 상기 제1 고주파에 대하여 실질적으로 공진 상태가 되는 플라즈마 처리 장치를 이용하여, 상기 기판에 원하는 플라즈마 처리를 실시하는 플라즈마 처리 방법으로서,
    상기 전극간 갭에 따라서, 상기 가변 콘덴서의 상기 공진 영역보다 낮은 제1 영역 내의 캐패시턴스 값과 상기 공진 영역보다 높은 제2 영역 내의 캐패시턴스 값을 선택적으로 이용하여 상기 플라즈마 처리를 실행하는 플라즈마 처리 방법.
  4. 제1항 또는 제3항에 있어서, 상기 기판에 대한 1회의 플라즈마 처리중에, 상기 제1 영역 내의 캐패시턴스 값과 상기 제2 영역 내의 캐패시턴스 값을 교대로 전환하는 플라즈마 처리 방법.
KR1020157008544A 2012-11-05 2013-10-30 플라즈마 처리 방법 KR102036950B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2012243356A JP6120527B2 (ja) 2012-11-05 2012-11-05 プラズマ処理方法
JPJP-P-2012-243356 2012-11-05
US201261725574P 2012-11-13 2012-11-13
US61/725,574 2012-11-13
PCT/JP2013/006428 WO2014068974A1 (ja) 2012-11-05 2013-10-30 プラズマ処理方法

Publications (2)

Publication Number Publication Date
KR20150082196A true KR20150082196A (ko) 2015-07-15
KR102036950B1 KR102036950B1 (ko) 2019-10-25

Family

ID=50626922

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157008544A KR102036950B1 (ko) 2012-11-05 2013-10-30 플라즈마 처리 방법

Country Status (5)

Country Link
US (1) US9502219B2 (ko)
JP (1) JP6120527B2 (ko)
KR (1) KR102036950B1 (ko)
TW (1) TWI595528B (ko)
WO (1) WO2014068974A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10867775B2 (en) 2016-07-29 2020-12-15 Semes Co., Ltd. Apparatus and method for treating substrate

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5819154B2 (ja) * 2011-10-06 2015-11-18 株式会社日立ハイテクノロジーズ プラズマエッチング装置
CN112366128B (zh) * 2014-04-09 2024-03-08 应用材料公司 用于在处理腔室中提供对称的流动路径的流动模块
EP3140850B1 (de) * 2014-05-09 2019-10-16 Ev Group E. Thallner GmbH Verfahren und vorrichtung zur plasmabehandlung von substraten
US9697990B2 (en) * 2015-11-16 2017-07-04 Tokyo Electron Limited Etching method for a structure pattern layer having a first material and second material
JP6556046B2 (ja) * 2015-12-17 2019-08-07 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP6784530B2 (ja) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
JP6643950B2 (ja) * 2016-05-23 2020-02-12 東京エレクトロン株式会社 プラズマ処理方法
JP6785171B2 (ja) * 2017-03-08 2020-11-18 株式会社日本製鋼所 成膜方法および電子装置の製造方法並びにプラズマ原子層成長装置
TWI826925B (zh) * 2018-03-01 2023-12-21 美商應用材料股份有限公司 電漿源組件和氣體分配組件
JP7195307B2 (ja) 2018-05-02 2022-12-23 東京エレクトロン株式会社 上部電極およびプラズマ処理装置
US10770257B2 (en) * 2018-07-20 2020-09-08 Asm Ip Holding B.V. Substrate processing method
JP7089977B2 (ja) * 2018-08-02 2022-06-23 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマ処理装置
JP2020066764A (ja) 2018-10-23 2020-04-30 東京エレクトロン株式会社 成膜装置および成膜方法
CN113186529A (zh) * 2020-01-14 2021-07-30 深圳清华大学研究院 一种高精度低应力光学薄膜沉积方法及装置
JP2024049613A (ja) 2022-09-29 2024-04-10 東京エレクトロン株式会社 基板処理装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003282542A (ja) * 2002-03-25 2003-10-03 Mitsubishi Electric Corp プラズマ処理装置
JP2004193566A (ja) 2002-11-26 2004-07-08 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US20100043974A1 (en) * 2002-11-26 2010-02-25 Akira Koshiishi Plasma processing method and apparatus

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060021580A1 (en) * 2004-06-02 2006-02-02 Tokyo Electron Limited Plasma processing apparatus and impedance adjustment method
US20170213734A9 (en) * 2007-03-30 2017-07-27 Alexei Marakhtanov Multifrequency capacitively coupled plasma etch chamber
US8450635B2 (en) * 2007-03-30 2013-05-28 Lam Research Corporation Method and apparatus for inducing DC voltage on wafer-facing electrode
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003282542A (ja) * 2002-03-25 2003-10-03 Mitsubishi Electric Corp プラズマ処理装置
JP2004193566A (ja) 2002-11-26 2004-07-08 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US20100043974A1 (en) * 2002-11-26 2010-02-25 Akira Koshiishi Plasma processing method and apparatus
US20110214813A1 (en) * 2002-11-26 2011-09-08 Akira Koshiishi Plasma processing method and apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10867775B2 (en) 2016-07-29 2020-12-15 Semes Co., Ltd. Apparatus and method for treating substrate

Also Published As

Publication number Publication date
WO2014068974A1 (ja) 2014-05-08
KR102036950B1 (ko) 2019-10-25
US9502219B2 (en) 2016-11-22
JP2014093436A (ja) 2014-05-19
TW201423828A (zh) 2014-06-16
TWI595528B (zh) 2017-08-11
US20150262794A1 (en) 2015-09-17
JP6120527B2 (ja) 2017-04-26

Similar Documents

Publication Publication Date Title
KR102036950B1 (ko) 플라즈마 처리 방법
US10529596B2 (en) Capacitive coupling plasma processing apparatus and method for using the same
KR102252016B1 (ko) 플라즈마 처리 장치
KR100886982B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US7494561B2 (en) Plasma processing apparatus and method, and electrode plate for plasma processing apparatus
US7506610B2 (en) Plasma processing apparatus and method
US8512510B2 (en) Plasma processing method and apparatus
KR101676875B1 (ko) 플라즈마 처리 장치
KR100926380B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US8138445B2 (en) Plasma processing apparatus and plasma processing method
KR100841118B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US20080236492A1 (en) Plasma processing apparatus
KR20100128238A (ko) 플라즈마 처리용 원환 형상 부품 및 플라즈마 처리 장치

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant