CN1754984A - 加工薄膜的装置和加工薄膜的方法 - Google Patents

加工薄膜的装置和加工薄膜的方法 Download PDF

Info

Publication number
CN1754984A
CN1754984A CNA2005100959565A CN200510095956A CN1754984A CN 1754984 A CN1754984 A CN 1754984A CN A2005100959565 A CNA2005100959565 A CN A2005100959565A CN 200510095956 A CN200510095956 A CN 200510095956A CN 1754984 A CN1754984 A CN 1754984A
Authority
CN
China
Prior art keywords
shield mechanism
gas shield
substrate
reactant gases
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005100959565A
Other languages
English (en)
Other versions
CN100564589C (zh
Inventor
李钟哲
严圣烈
朴祥爀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LG Display Co Ltd
Original Assignee
LG Electronics Inc
LG Philips LCD Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LG Electronics Inc, LG Philips LCD Co Ltd filed Critical LG Electronics Inc
Publication of CN1754984A publication Critical patent/CN1754984A/zh
Application granted granted Critical
Publication of CN100564589C publication Critical patent/CN100564589C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Nonlinear Science (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Liquid Crystal (AREA)

Abstract

加工薄膜的装置和加工薄膜的方法。一种用于加工在基板上的薄膜的装置包括:其上布置有基板的台。气体保护机构面对所述基板。能量源利用从所述能量源发射的光通过所述气体保护机构的驻留空间照射所述基板的一部分。分配单元包括针形喷管,该针形喷管将反应气体向所述基板的所述部分喷注。

Description

加工薄膜的装置和加工薄膜的方法
技术领域
本发明涉及一种加工薄膜的装置和加工薄膜的方法。
背景技术
直到最近,显示器件还典型地使用阴极射线管(CRT)。目前,正在努力研究和开发各种类型的平板显示器作为CRT的替代品,例如液晶显示(LCD)器件、等离子体显示板(PDP)、场发射显示器和电致发光显示器(ELD)。
这些平板显示器在至少一个透明基板上具有发光层或偏光层。近来,由于高分辨率和显示移动图像的高的能力,有源矩阵型平板显示器(其中以矩阵形式来排列有多个薄膜晶体管(TFT))已得到广泛地应用。
该平板显示器包括多个薄膜。因此,通过重复进行薄膜淀积工艺和薄膜蚀刻工艺来制造平板显示器。在具有气密反应区的腔式薄膜加工装置中进行诸如淀积工艺和蚀刻工艺的薄膜加工工艺。
图1是用于根据现有技术的平板显示器的腔式薄膜加工装置的剖面图。
如图1所示,在腔式薄膜加工装置中,腔10限定了反应空间“A”,并且将基板2布置在其中。反应气体在反应空间“A”中流动,然后将其激活,从而进行薄膜加工处理。为了激活反应气体并且增加处理速度,产生诸如高温和真空的反应条件,或者与所述反应条件一起生成等离子体。图1的装置是等离子体增强型化学气相淀积(PECVD)装置。在PEVCD装置中,通过使用射频(RF)电压利用激活为等离子体状态的反应气体来进行薄膜淀积工艺。
为了进行这一工艺,在腔10中布置了彼此面对的上电极部分20和下电极部分30,以及在该上电极部分20与下电极部分30之间布置有基板2。上电极部分20包括背板22和位于该背板22下方的有孔喷嘴板(showerhead plate)24。对背板22提供射频(RF)高压,由此将其用作一个电极,以生成并保持等离子体。有孔喷嘴板24具有多个喷注孔26,以便将外部反应的气体喷注到反应空间“A”中。喷注孔26全部都布置在有孔喷嘴板24中,并且是上下开放的。下电极部分30包括接受器(susceptor)32,并且通过移动装置34上下移动。接受器32用作卡盘(chuck),以支承基板2和其他电极来生成并保持等离子体。
沿着腔10的底面外周部分布置有多个排气口14,以利用外部抽吸系统(未示出)来排出反应空间“A”中的气体。
将基板2传送到腔10中并且放置在接受器32上,然后移动装置向上移动,以使得基板2以预定的距离与有孔喷嘴板24面对。然后,向背板22提供射频(RF)高压,并且通过喷注孔26喷注反应气体。因此,在反应空间“A”中反应气体被激活,由此生成并保持等离子体,从而在基板2上淀积薄膜。
如上所述,腔式薄膜处理装置使用限定了气密反应空间“A”(其中装载有基板2)的腔10,并且利用充足的手段来激活反应气体,以进行对应工艺。
然而,大尺寸的基板对于腔式装置是有问题的。换句话说,平板显示器的尺寸近来得到了增加,并且将基板2用作裸基板或母基板,通过将基板2切割构成平板显示器的单元来提高制造的效率。例如,基板2具有大约几个平方米(m2)的尺寸。因此,为了装入大尺寸的基板2,腔10的尺寸要根据基板2的尺寸而增大。相应地,由该腔式装置占用的空间也增大。
为了解决这些问题,已经建议了一种气体保护式薄膜加工装置。图2是根据现有技术的气体保护式薄膜加工装置的剖面图。
如图2所示,气体保护式薄膜加工装置使用激光诱导化学气相淀积法。换句话说,使用照射到基板2的一部分的光和在大气压力下提供给基板2的被照射部分的反应气体来进行薄膜加工。
气体保护式装置包括:其中放置有基板2的台50,在该台50之上的气体保护机构60,和在该气体保护机构60上的能量源72。
台50上下和左右(即水平地和垂直地)移动。气体保护机构60具有上下开口的驻留空间62,其布置在对应于能量源72的气体保护机构60的中心位置处。驻留空间62的上开口部分通过透明窗口64来遮盖。激光束通过透明窗口64和驻留空间62来照射基板2的一部分。提供给驻留空间62的外部反应气体流入到基板2的被照射部分。在气体保护机构60的面对基板2的后表面上布置有多个排气槽68,以排出残余在基板2上的反应气体。供气通道66与驻留空间62相连,以提供反应气体。排气通道70与排气槽68相连,以将残余的反应气体排到外部。
将基板2放置在台50上,并且移动台50以与气体保护机构60和能量源72相对准。然后,来自能量源72的激光束照射基板2的一部分,并且将反应气体提供给驻留空间62。通过激光束激活反应气体,从而在基板2的被照射部分处进行诸如淀积或蚀刻的薄膜加工处理。沿着移动台50的实线来进行薄膜加工处理。
然而,现有技术的气体保护式薄膜加工装置存在涉及到薄膜的均匀性的问题。由于在大气压力下进行该薄膜加工处理,所以大多数反应气体没有被用于加工薄膜而是被排出,从而增加了生产损耗。稳定地提供并排出反应气体也是困难的。因此降低了处理速率。在气体保护式薄膜加工装置中,由于在大气压力下进行该薄膜加工工艺,所以与腔式薄膜加工装置相比,保持用于提供并排出反应气体的恒定压力是有问题的,从而恶化了薄膜的均匀性。
此外,由于上述原因,台的移动速度受到了限制,从而降低了处理速率。例如,当利用现有技术的薄膜加工装置进行修补处理以连接破损的薄膜图案时,激光束的照射范围(即聚焦区域)大约是300μm2,而该台的移动速度大约是3到10μm/sec。因此,一个基板的总的处理时间(即总的循环周期(TACT))增大。
此外,现有技术的气体保护式薄膜加工装置具有根据大尺寸的基板移动的大尺寸台。该薄膜加工装置具有复杂的结构并且生成诸如微粒的杂质。因此,可能会污染基板,并且使薄膜的纯度和均匀性劣化。
附图说明
将附图包括在说明书中,用来提供对本发明进一步理解,将附图并入构成该说明书一部分,附图示出了本发明的实施例,并且与说明书一起用于解释本发明的原理。在附图中:
图1是用于根据现有技术的平板显示器的腔式薄膜加工装置的剖面图;
图2是根据现有技术的气体保护式薄膜加工装置的剖面图;
图3是根据本发明实施例的气体保护式薄膜加工装置的剖面图;
图4是根据本发明实施例的气体保护式薄膜加工装置的气体保护机构和分配单元的剖面图;
图5是根据本发明实施例的气体保护式薄膜加工装置的气体保护机构的后表面的立体图;
图6A和图6B是示出了根据本发明实施例的气体保护机构的旋转的示意性平面图。
具体实施方式
现在将详细地对本发明的示意性实施例(其在附图中示出)进行说明。
图3是根据本发明实施例的气体保护式薄膜加工装置的剖面图。该根据本发明实施例的薄膜加工装置不仅适用于平板显示器,而且还适用于包括诸如半导体器件的薄膜的装置。该加工薄膜的工艺包括与在基板上形成薄膜相关的工艺,诸如淀积、蚀刻等。
如图3所示,该薄膜加工装置包括其上布置有基板102的台110,布置在基板102之上并面对基板102的气体保护机构120,以及位于气体保护机构120上方的能量源140。
台110可以是固定的,并且可以将其上的基板102称为大尺寸裸基板或母基板,其在划片(scribing)工艺中被切割为多个块(单元)。
气体保护机构120与基板102隔开几个微米到几百个微米的距离。气体保护机构120可以由铝(Al)制成,并且具有圆带形状或多边带形状。驻留空间122布置在气体保护机构120的中心位置处。驻留空间122上下是开口的,并且通过透明窗口124遮盖驻留空间122的上开口部分。透明窗口124可以由石英制成。在气体保护机构120的后表面中布置有多个排气槽128。排气槽128与排气通道130相连,并且通过排气通道130彼此相连。诸如真空泵的外部抽吸系统与排气通道130相连。因此,外部抽吸系统通过排气槽128和排气通道130排出反应气体。
在透明窗口124上且与其对应地布置能量源140。能量源140产生通过透明窗口124和驻留空间122来照射基板102的一部分的光。可以将激光束、紫外(UV)射线、射频(RF)射线或u波射线用作所述光。所述光的波长和强度可以是固定的或是可调整的。而且,可以通过使用一个陕缝(未示出)来控制所述光的照射范围。
在所示出的实施例中,保护层120连同能量源140上下和左右(即水平和垂直于所述基板102)移动,并且台110是固定的。因此,与现有技术相比较,所示出的气体保护式装置可以以低功率进行操作,并且具有简单的结构,还可以减少微粒的产生。
另外,气体保护机构120和能量源140可以相对于台110移动。换句话说,气体保护机构120和能量源140可以固定而台110可以移动,或气体保护机构120和能量源140可以移动且台110也可以移动。
此外,在所示出的实施例中,通过分配单元150直接将反应气体提供给基板102的照射部分。
参照图3到5更加详细地描述了根据该实施例的气体保护式薄膜加工装置。
图4是根据本发明实施例的气体保护式薄膜加工装置的气体保护机构和分配单元的剖面图,而图5是根据本发明实施例的气体保护式薄膜加工装置的气体保护机构的后表面的立体图。
如图3到5中所示,气体保护机构120包括在气体保护机构120的中心位置处的驻留空间122、透明窗口124,以及用于排出残余在基板102上的反应气体的排气孔128和排气通道130。
将分配单元150布置为集中地将反应气体喷注到基板102的照射部分。该分配单元150包括被插入到气体保护机构120的内部且探入到基板102的照射部分的针形喷管(pin nozzle)152。
针形喷管152从驻留空间122周围的气体保护机构120的内表面开始分叉,并且可以由陶瓷材料制成。针形喷管152具有锥形形状,以使得针形喷管152的直径从布置在气体保护机构120中的一端到面对基板102的另一端逐渐地变细。针形喷管152的另一端可以具有大约10μm到50μm的第一直径“1”,而针形喷管152的另一端可以具有大约100μm到500μm的第二直径“2”。在一个实施例中,第二直径对第一直径的比可以大约是10。针形喷管152以相对于基板102的一个斜角从气体保护机构120伸出。针形喷管152的另一端比气体保护机构120更接近于台110,并且基本上可以布置在驻留空间122的中心。
在气体保护机构120中布置有供气通道126,以将外部反应气体提供给针形喷管152。该供气通道126与存储反应气体的第一储备箱“T1”相连。
如上所述,根据本发明实施例的气体保护式装置包括具有存储反应气体的第一储备箱“T1”的分配单元150,在气体保护机构120中的用于提供反应气体的供气通道126,以及探入到基板102中并且集中地将所述反应气体喷注到基板102的光照射部分的针形喷管152。
在供气通道126与针形喷管152之间的连接部分处布置有第一O形环166。该第一O形环166用作密封件,以防止反应气体泄漏到驻留空间122的外部。该第一O形环166可以由高防化材料制成,该材料在第一O形环166暴露于反应气体时不容易被腐蚀。例如第一O形环166可以由对反应气体具有耐受性的含氟弹性体(FKM)(例如氟橡胶、karlez和chemrez)制成。
当将基板102放置在台110上时,将气体保护机构120和能量源140移动到与基板102相对准。然后,从能量源140产生光,并且所述光通过透明窗口124和驻留空间122照射到基板102的一部分。同时,将存储在第一储备箱“T1”中的反应气体通过供气通道126提供给针形喷管152,并且将提供给针形喷管152的反应气体喷注到基板102的照射部分。通过所述光来激活被喷注的反应气体,以淀积薄膜或对先前淀积在基板102上的薄膜进行蚀刻。
此外,气体保护机构120和能量源140二者沿着实线移动并进行薄膜加工处理。在薄膜加工处理过程中,通过排气孔128和排气通道130连续地排出残余在基板102上的反应气体。朝着与气体保护机构120的移动方向相反的方向喷注来自针形喷管152的反应气体,以均匀地加工薄膜。换句话说,在与薄膜加工方向相反的方向上喷注反应气体。为此,使针形喷管152在与气体保护机构120的移动方向相反的方向上探入。
如上所述,气体保护机构120沿着X方向和与基板102水平的Y方向移动,以加工在整个基板102上的薄膜。同样,针形喷管152以与气体保护机构120的移动方向相反的方向喷注反应气体。因此,气体保护机构120可以根据气体保护机构120的移动方向的变化而旋转,以与气体保护机构120的移动方向相反的方向喷注反应气体。气体保护机构120相对于驻留空间122旋转。参见图5、6A和6B更加详细地说明了气体保护机构120的这种旋转。
图6A和图6B是示出了根据本发明实施例的气体保护机构的旋转的示意性平面图。在图6A和图6B中,以简明的方式示出了针形喷管和基板。
如图5和图6A所示,气体保护机构120沿着正X方向移动,并且进行薄膜加工处理,而针形喷管152将反应气体朝着负X方向喷注到基板102中。在进行了沿着X方向的薄膜加工处理之后,气体保护机构120可以将移动方向改变90度,以沿着正Y方向进行薄膜加工处理。当改变移动方向时,气体保护机构120旋转90度,以朝着负Y方向喷注反应气体。换句话说,气体保护机构120的旋转角可以对应于气体保护机构120的移动方向的变化角度。
可以在台110的下面布置诸如电荷耦合器件(CCD)的监控设备142。监控设备142实时显示薄膜加工的结果,使得可以容易地察觉到薄膜加工的异常。
在根据所示实施例的气体保护式装置中,由于将光和反应气体集中地提供给基板102的一部分,所以可以增加处理速率,还可以将残余的反应气体减到最少。
为了保持所提供和排出的反应气体的恒定压力(这与薄膜加工的均匀性密切相关),分配单元150还包括:插入在第一储备箱“T1”与供气通道126之间的圆柱容器156、表示圆柱容器156的内部压力的压力计162和存储提供给圆柱容器156的惰性气体的第二储备箱“T2”。
更加详细地是,气体保护机构120中的供气通道126通过第一供应管154与圆柱容器156相连。在圆柱容器156中限定了缓冲区“B”。缓冲区“B”通过第二供应管158与第一储备箱“T1”相连。同样,缓冲区“B”通过第三供应管160与第二储备箱“T2”相连。
因此,第一储备箱“T1”中的反应气体通过圆柱容器156的缓冲区“B”,然后通过第一供应管154和供气通道126提供给针形喷管152,接着被喷注到基板102的一部分中。如果需要的话,将第二储备箱“T2”中的惰性气体提供给圆柱容器156的缓冲区“B”。换句话说,将惰性气体用作调整反应气体压力和浓度的体气(bulk gas)。可以使用氩(Ar)、氦(He)和氮气(N2)作为惰性气体。
此外,在圆柱容器156中,可以布置活塞157来调整缓冲区“B”的压力。通过气动压力操作活塞157前后移动,以改变缓冲区“B”的体积。因此,活塞157调整了通过针形喷管152喷注的反应气体的压力。对于气动压力,可以使用第二储备箱“T2”中的惰性气体。
由于压力计162表明了缓冲区“B”的内部压力,所以可以容易地调整从第二储备箱“T2”中供应的惰性气体的量以及活塞157的移动范围。因此,可以容易地调整通过针形喷管152喷注的反应气体的压力。
换句话说,为了提高薄膜加工处理的速度,反应气体的压力增大,同时气体保护机构120和能量源140的移动速度也增大。为了降低薄膜加工处理的速度,反应气体的压力降低,同时气体保护机构120和能量源140的移动速度也降低。以这种方式,可以容易地调整薄膜加工处理的速度。因此,可以有效地获得薄膜加工处理的均匀性。在其他实施例中,反应气体的压力可能增大而气体保护机构和能量源140的速度降低,或者反应气体的压力可能降低而气体保护机构和能量源140的速度增大。
可以将第二O形环164布置在气体保护机构120与透明窗口124之间的接触部分处。围绕在透明窗口124外周的第二O形环164用作密封件,以防止反应气体泄漏到外部,类似于第一O形环166。第二O形环164可以由与第一O形环166相同的材料(诸如含氟弹性体(FKM))制成。
在上面说明的实施例中,主要以利用气体保护式薄膜加工装置说明了作为薄膜加工处理的薄膜淀积处理和薄膜蚀刻处理。然而,利用气体保护式薄膜加工装置,可以在无反应气体的情况下仅通过使用来自能量源的光来去除先前淀积在基板上的隔离体,并且可以在薄膜加工处理之前进行这种去除处理,以暴露在隔离体下的薄膜图案。此外,利用气体保护式薄膜加工装置可以进行修补处理,以连接破裂的薄膜图案或断开异常电短接的薄膜图案。
如上所述,在气体保护式薄膜加工装置中,不考虑在大气压力下进行薄膜加工的情形,可以稳定地提供并排出反应气体,并且可以使未使用的排出的反应气体达到最小。换句话说,由于集中地将反应气体喷注到基板的光照射部分,所以大多数反应气体被用于薄膜加工。因此,能够获得薄膜加工的均匀性,能够将生产损耗降到最低,并且可以提高处理的速度。
此外,通过使用圆柱容器,可以容易地保持所提供并排出的反应气体的恒定压力。因此,可以调整处理速度并且能够获得均匀的薄膜加工。因此,小尺寸的气体保护机构和能量源是可拆卸的。因此,气体保护式薄膜加工装置可能具有简单的结构。同样,可以减少微粒的生成,从而可以防止基板被污染,并且能够改善所加工的薄膜的纯度。从而可以极大地提高生产率,因此能够生产包括高质量的薄膜的装置。
对本领域的普通技术人员明显的是,在不脱离本发明的精神和范围的情况下,可以对本发明的用于加工薄膜的装置以及加工薄膜的方法进行各种修改和变型。例如,还可以将本发明应用于其他的电子或显示器件。因此,本发明旨在覆盖落入所附权利要求及其等同物范围内的本发明的修改和变型。
本发明要求于2004年8月27日提交的韩国专利申请No.P2004-0067917的优先权益,通过引用将其并入本文。

Claims (43)

1、一种用于加工基板的装置,包括:
台,其适合于容纳基板;
气体保护机构,其面对基板并且具有驻留空间;
能量源,其被布置为使得从所述能量源发射的光通过所述驻留空间照射所述基板的一部分;以及
分配单元,其包括针形喷管,通过该针形喷管将反应气体向所述基板的所述部分喷注。
2、根据权利要求1所述的装置,其中所述针形喷管被插入到所述气体保护机构中,并且具有锥形形状,使得在所述气体保护机构中的针形喷管的一端具有第一直径,而所述针形喷管的面对所述基板的另一端具有小于所述第一直径的第二直径。
3、根据权利要求2所述的装置,其中所述第一直径与第二直径的比大约为10。
4、根据权利要求2所述的装置,其中所述第一直径大约为100μm到500μm,而所述第二直径大约为10μm到50μm。
5、根据权利要求1所述的装置,其中所述分配单元还包括存储反应气体的第一储备箱,以及在所述气体保护机构中且连接所述针形喷管与第一储备箱的供气通道。
6、根据权利要求5所述的装置,其中所述分配单元还包括插入在所述第一储备箱与供气通道之间的圆柱容器,所述圆柱容器具有用于调整所述反应气体压力的缓冲区。
7、根据权利要求6所述的装置,其中所述分配单元还包括在所述圆柱容器中的活塞,所述活塞移动以调整所述反应气体的压力。
8、根据权利要求5所述的装置,其中所述分配单元还包括用于存储提供给所述圆柱容器的惰性气体以调整所述反应气体压力的第二储备箱。
9、根据权利要求1所述的装置,其中所述气体保护机构可与所述能量源一起移动。
10、根据权利要求9所述的装置,其中所述气体保护机构可旋转与所述气体保护机构移动方向的变化角度相对应的一个角度。
11、根据权利要求9所述的装置,其中所述反应气体的喷注方向与所述气体保护机构的移动方向相反。
12、根据权利要求9所述的装置,其中所述反应气体的喷注压力随着所述气体保护机构的移动速度的增大而增大,并且所述反应气体的喷注压力随着所述气体保护机构移动速度的降低而降低。
13、根据权利要求1所述的装置,其中所述气体保护机构还包括遮盖所述驻留空间的上开口部分的透明窗口。
14、根据权利要求1所述的装置,其中所述气体保护机构还包括在面对所述基板的表面上的多个排气槽,以及在与所述排气槽相连的气体保护机构中的排气通道。
15、根据权利要求5所述的装置,还包括在所述针形喷管与供气通道之间的连接部分处的密封件。
16、根据权利要求1所述的装置,还包括实时提供薄膜加工结果的监控设备。
17、一种在基板上加工薄膜的方法,包括以下步骤:
将基板放置在台上;
利用来自能量源的光照射所述基板的一部分;以及
通过针形喷管将反应气体喷注到所述基板的被照射部分,以使得通过所述光来激活所述反应气体以加工所述薄膜。
18、根据权利要求17所述的方法,其中所述光通过气体保护机构的驻留空间来照射所述基板的所述部分。
19、根据权利要求18所述的方法,其中所述气体保护机构可与所述光源一起移动。
20、根据权利要求19所述的方法,还包括步骤:将所述气体保护机构向所述基板的移动方向旋转变化的角度,并且对所述气体保护机构旋转与所述变化角度相对应的角度。
21、根据权利要求19所述的方法,其中所述反应气体的喷注方向与所述气体保护机构的移动方向相反。
22、根据权利要求19所述的方法,还包括如下步骤:随着所述气体保护机构移动速度的增大而增大所述反应气体的喷注压力,并且随着所述气体保护机构移动速度的降低而降低所述反应气体的喷注压力。
23、根据权利要求17所述的方法,还包括调整在缓冲区中的所述反应气体的喷注压力。
24、根据权利要求23所述的方法,其中通过移动活塞以改变所述缓冲区的体积来调整所述反应气体的喷注压力。
25、根据权利要求23所述的方法,其中通过向所述缓冲区提供惰性气体来调整所述反应气体的喷注压力。
26、根据权利要求17所述的方法,还包括排出残余的反应气体。
27、根据权利要求17所述的方法,还包括实时地提供薄膜加工的结果。
28、一种用于加工基板的装置,包括:
台,其适合于容纳基板;
气体保护机构,其面对基板并且具有驻留空间;
能量源,其被布置为使得从所述能量源发射的光通过所述驻留空间照射所述基板的一部分;以及
分配单元,适合于向所述台的所述部分喷注反应气体,所述分配单元包括供气通道和喷注口,所述喷注口从所述气体保护机构伸向所述台,并且具有横截面积基本上小于所述供气通道的横截面积的一端。
29、根据权利要求28所述的装置,其中所述喷注口以相对于所述台的倾斜角从所述气体保护机构伸向所述台。
30、根据权利要求28所述的装置,其中所述分配单元还包括存储反应气体的第一储备箱,以及连接所述喷注口与所述第一储备箱的供气通道。
31、根据权利要求30所述的装置,其中所述分配单元还包括插入在所述第一储备箱与所述供气通道之间的圆柱容器,所述圆柱容器具有用于调整所述反应气体压力的缓冲区。
32、根据权利要求31所述的装置,其中所述分配单元还包括所述圆柱容器中的活塞,所述活塞移动以调整所述反应气体的压力。
33、根据权利要求30所述的装置,其中所述分配单元还包括存储提供给所述圆柱容器的惰性气体以调整所述反应气体的压力的第二储备箱。
34、根据权利要求28所述的装置,其中所述气体保护机构可与所述能量源一起移动。
35、根据权利要求34所述的装置,其中所述气体保护机构可旋转与所述气体保护机构的移动方向的变化角度相对应的角度。
36、根据权利要求34所述的装置,其中所述反应气体的喷注方向与所述气体保护机构的移动方向相反。
37、根据权利要求34所述的装置,其中所述反应气体的喷注压力随着所述气体保护机构移动速度的增大而增大,并且所述反应气体的喷注压力随着所述气体保护机构的移动速度的降低而降低。
38、根据权利要求28所述的装置,其中所述气体保护机构还包括遮盖所述驻留空间的上开口部分的透明窗口。
39、根据权利要求28所述的装置,其中所述气体保护机构还包括:在面对所述台的表面上的多个排气槽,以及在与所述排气槽相连的气体保护机构中的排气通道。
40、根据权利要求28所述的装置,还包括在所述喷注口与所述供气通道之间的连接部分处的密封件。
41、根据权利要求28所述的装置,还包括布置在所述台下方的监控设备。
42、根据权利要求28所述的装置,其中所述喷注口的所述端比所述气体保护机构更接近于所述台。
43、根据权利要求28所述的装置,其中所述喷注口的所述端基本上被布置在所述驻留空间的中心。
CNB2005100959565A 2004-08-27 2005-08-26 用于加工基板的装置和在基板上加工薄膜的方法 Active CN100564589C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020040067917 2004-08-27
KR1020040067917A KR101071136B1 (ko) 2004-08-27 2004-08-27 평판표시장치의 제조를 위한 기판의 박막처리장치

Publications (2)

Publication Number Publication Date
CN1754984A true CN1754984A (zh) 2006-04-05
CN100564589C CN100564589C (zh) 2009-12-02

Family

ID=36099501

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100959565A Active CN100564589C (zh) 2004-08-27 2005-08-26 用于加工基板的装置和在基板上加工薄膜的方法

Country Status (4)

Country Link
US (1) US20060068121A1 (zh)
KR (1) KR101071136B1 (zh)
CN (1) CN100564589C (zh)
TW (1) TWI336735B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102828166A (zh) * 2012-08-24 2012-12-19 京东方科技集团股份有限公司 化学气相沉积维修设备
CN112048714A (zh) * 2019-06-07 2020-12-08 灿美工程股份有限公司 沉积设备

Families Citing this family (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100909959B1 (ko) * 2008-01-28 2009-07-30 참앤씨(주) Lcd 패널 리페어 장치 및 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US8664627B1 (en) * 2012-08-08 2014-03-04 Asm Ip Holding B.V. Method for supplying gas with flow rate gradient over substrate
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
USD741004S1 (en) 2013-04-19 2015-10-13 Elc Management Llc Lipstick bullet
USD739077S1 (en) 2013-04-19 2015-09-15 Elc Management Llc Lipstick bullet
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200022682A (ko) * 2018-08-23 2020-03-04 세메스 주식회사 버퍼 유닛, 그리고 이를 가지는 기판 처리 장치 및 방법
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8611397D0 (en) * 1986-05-09 1986-06-18 Neotronics Ltd Gas sensor
US5273849A (en) * 1987-11-09 1993-12-28 At&T Bell Laboratories Mask repair
JPH0262039A (ja) * 1988-08-29 1990-03-01 Hitachi Ltd 多層素子の微細加工方法およびその装置
US5103102A (en) * 1989-02-24 1992-04-07 Micrion Corporation Localized vacuum apparatus and method
US5683547A (en) * 1990-11-21 1997-11-04 Hitachi, Ltd. Processing method and apparatus using focused energy beam
JP3310136B2 (ja) * 1994-09-17 2002-07-29 株式会社東芝 荷電ビーム装置
JP3109508B2 (ja) * 1999-03-24 2000-11-20 日本電気株式会社 薄膜形成装置
US6743736B2 (en) * 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102828166A (zh) * 2012-08-24 2012-12-19 京东方科技集团股份有限公司 化学气相沉积维修设备
CN102828166B (zh) * 2012-08-24 2014-07-16 京东方科技集团股份有限公司 化学气相沉积维修设备
CN112048714A (zh) * 2019-06-07 2020-12-08 灿美工程股份有限公司 沉积设备
CN112048714B (zh) * 2019-06-07 2022-11-01 灿美工程股份有限公司 沉积设备

Also Published As

Publication number Publication date
KR20060019303A (ko) 2006-03-03
US20060068121A1 (en) 2006-03-30
TWI336735B (en) 2011-02-01
TW200607884A (en) 2006-03-01
KR101071136B1 (ko) 2011-10-10
CN100564589C (zh) 2009-12-02

Similar Documents

Publication Publication Date Title
CN100564589C (zh) 用于加工基板的装置和在基板上加工薄膜的方法
US6578515B2 (en) Film formation apparatus comprising movable gas introduction members
CN1144896C (zh) 等离子体处理装置
CN1879189A (zh) 用于处理大面积矩形基板的高频等离子体反应器的电压非均匀性补偿方法
CN1295756C (zh) 在阻挡膜上形成钨膜的方法
CN1737190A (zh) 磁控溅镀装置
CN1715442A (zh) 用于大区域等离子体加强化学气相沉积的气体扩散喷头
CN1648283A (zh) 等离子体化学气相沉积系统及涂覆衬底两侧的方法
CN1575349A (zh) 真空蒸镀装置、真空蒸镀方法及获得的有机电子荧光元件
CN102347402A (zh) 等离子体处理装置、等离子体处理方法及光电转换元件
US20080085413A1 (en) Laser processing apparatus, laser processing method, manufacturing method of wiring substrate, manufacturing method of display apparatus and wiring substrate
CN1922339A (zh) 冷凝效应最小化的蒸汽沉积源
CN1912179A (zh) 制膜装置、制膜方法、图案化方法、光学装置的制造方法
CN1533596A (zh) 等离子体处理装置
US8207011B2 (en) Method of manufacturing photoelectric conversion device
CN1752279A (zh) 用于处理薄膜的装置和薄膜的处理方法
JP4252317B2 (ja) 蒸着装置および蒸着方法
US8512530B2 (en) Sputtering apparatus
CN100350645C (zh) 一种有机el显示器制造装置和一种有机el显示器制造方法
CN1286892A (zh) 基底电极等离子体发生装置及使用该装置处理物质和材料的方法
CN1796599A (zh) 薄膜处理装置以及薄膜处理方法
KR101634694B1 (ko) 멀티형 증착 장치 및 방법
KR101138609B1 (ko) 효율적인 라디칼 생성을 위한 플라즈마 발생장치
CN100348077C (zh) 等离子处理装置与基板表面处理装置
US20070148988A1 (en) Fabrication method for alignment film

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Free format text: FORMER OWNER: LG ELECTRONIC CO., LTD.

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20100324

Address after: Seoul, South Kerean

Patentee after: LG Display Co., Ltd.

Address before: Seoul, South Kerean

Co-patentee before: LG Electronics Inc.

Patentee before: LG Display Co., Ltd.