CN1319881A - 在金属镶嵌栅极工艺中形成自对准接触焊盘的方法 - Google Patents

在金属镶嵌栅极工艺中形成自对准接触焊盘的方法 Download PDF

Info

Publication number
CN1319881A
CN1319881A CN01110990A CN01110990A CN1319881A CN 1319881 A CN1319881 A CN 1319881A CN 01110990 A CN01110990 A CN 01110990A CN 01110990 A CN01110990 A CN 01110990A CN 1319881 A CN1319881 A CN 1319881A
Authority
CN
China
Prior art keywords
insulating barrier
groove
layer
dummy gate
side wall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN01110990A
Other languages
English (en)
Other versions
CN1177353C (zh
Inventor
禹亨洙
李圭现
郑泰荣
金奇南
黄有商
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1319881A publication Critical patent/CN1319881A/zh
Application granted granted Critical
Publication of CN1177353C publication Critical patent/CN1177353C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)

Abstract

提供一种具有低电阻同时能够形成自对准接触焊盘的金属镶嵌栅电极。具有间隔层和间隔层限定的沟槽的绝缘体提供在半导体衬底上。杂质注入到由沟槽露出的半导体衬底内,形成沟道区。沟槽由导电材料部分地填充形成凹陷的栅电极。沟槽的其余部分由相对于绝缘层有腐蚀选择性的绝缘体填充,形成覆盖栅电极和间隔层的帽盖层。因此,帽盖层作为随后自对准接触工艺中的腐蚀中止层。

Description

在金属镶嵌栅极工艺中形成自对准接触焊盘的方法
本申请基于2000年3月9日申请的韩国专利申请No.2000-11821以及2000年9月22日申请的No.2000-55794的优先权,其内容在这里引入作为参考。
本申请涉及半导体制造,特别涉及能够形成自对准接触工艺的金属镶嵌栅极。
半导体工业不断进取以提高器件性能,同时不断保持或甚至降低半导体产品的成本。这些目标已通过产业制造出更小的半导体器件的能力而部分地实现,由此能够由起始衬底获得更多的半导体芯片,从而降低了具体半导体芯片的加工成本。制造具有亚微米结构器件的能力对获得较小芯片有主要的贡献,同时较小的芯片的集成度等于较大芯片获得的集成度。
使用亚微米结构或超小型化结构产生组成晶体管的较小尺寸的栅电极。期望缩减到约0.1微米。然而,所述超小型化结构在常规的栅电极工艺中产生一些问题。特别是超小型化结构不能确保栅绝缘层的可靠性。常规的栅电极工艺介绍如下。在半导体衬底上形成器件隔离区,注入杂质形成沟道区。栅极氧化层和如多晶硅/钨等的栅电极层依次形成并构图成栅电极。使用栅电极做注入掩模,将杂质注入到衬底内形成低浓度漏区,即,LDD(轻掺杂漏区)。这里,在栅电极构图期间栅极氧化物受到等离子体损伤。此外,对沟道的杂质注入降低了栅极氧化物的可靠性。而且,由于沟道杂质注入后接LDD杂质注入,在消除(curing)由于LDD杂质注入所引起的点缺陷的退火工艺期间,沟道区中的杂质重新分布。并且,常规的多晶硅/钨栅电极不能充分地平衡由亚微米栅极结构造成的电阻增加。
为了克服以上提到的问题,现已开发了虚拟栅极工艺(通常所说的金属镶嵌栅极工艺)。图1和2为半导体衬底的剖面图,示出了与常规虚拟栅极工艺有关的一些问题。
参考图1A和1B,介绍了常规的虚拟栅极工艺。现在参考图1A,在半导体衬底10的预定部分中形成器件隔离区12。在所得结构上形成虚拟栅极图形(未示出)。使用虚拟栅极图形作掩模,进行LDD杂质注入并退火形成LDD区16。在虚拟栅极图形的侧壁上形成间隔层18。在半导体衬底10的整个表面上形成第一绝缘层,并向下平面化到虚拟栅极图形的上表面形成平面化的第一绝缘层20。然后选择性地除去虚拟栅极图形形成沟槽。穿过沟槽,注入杂质形成沟道区(未示出)。
进而,栅电极材料淀积在沟槽中第一绝缘层20上,然后平面化栅电极材料直到第一绝缘层20的上表面露出,形成栅电极24。随后,进行位线和存储节点接触工艺,作为到栅电极24外LDD区16的电连接。
正如本领域中公知的,为了确保工艺裕度,位线和存储节点接触工艺进行自对准接触工艺。自对准接触工艺相对于覆盖栅电极的氮化层选择性地腐蚀氧化层,形成露出LDD区的开口。因此,即使存在未对准,氮化层保护栅电极,由此防止栅电极由开口露出。
然而,常规的虚拟栅极工艺在位线和存储节点接触工艺期间存在严重的问题。如图1A和1B所示,栅电极24的上部露出,没有被覆盖。因此,当形成接触的光刻工艺期间发生未对准时,栅电极24露出。即,对于接触工艺,第二绝缘层26形成在栅电极24和第一绝缘层20上。通过光腐蚀工艺,相对于氮化物侧壁间隔层18选择性地腐蚀第二和第一绝缘层26和20,形成接触开口28a和28b。如图所示,存在未对准时,由于栅极的上部没有被保护,栅电极24的上部由开口28a和28b露出。此外,如果腐蚀方法不是最理想的,那么会腐蚀露出的栅电极24。由此,随后形成的接触焊盘会接触栅电极24。
因此,要求保护性氮化层仅形成在栅电极24上。然而,很难将保护性氮化层选择性地形成在栅电极24上。如图2所示,保护性氮化层25也形成在第一绝缘层20上。即,保护性氮化层25同时形成在栅电极24和第一绝缘层20上。因此,腐蚀保护性氮化物以及第一和第二绝缘层20和26形成接触开口,由此不可能应用自对准接触工艺。
因此,本领域中需要能与自对准接触工艺兼容的新颖的虚拟栅极工艺。
因此,鉴于以上问题得到本发明,本发明一个目的是提供一种能够实现自对准接触工艺的金属镶嵌栅电极的形成方法。
本发明的一个特点是通过除去虚拟栅极图形形成的沟槽部分填充低阻的栅电极材料,沟槽的其余部分完全由氮化层填充形成帽盖层。因此,金属镶嵌栅电极的顶部由帽盖层覆盖并保护,由此能够实现随后的自对准接触工艺。
具体地,为了实现本发明的目的,提供一种半导体器件的形成方法。方法包括以下步骤:在半导体衬底上形成虚拟栅极图形,虚拟栅极图形具有侧壁间隔层;在半导体衬底的整个表面上形成第一绝缘层,第一绝缘层具有平坦的上表面,并且与虚拟栅极图形高度相同;相对于侧壁间隔层和第一绝缘层选择性地除去虚拟栅极图形,形成露出半导体衬底选择部分的沟槽;在露出的半导体衬底上形成栅极绝缘层;用低阻导电材料部分地填充沟槽形成栅电极;以及用相对于第一绝缘层具有腐蚀选择性的绝缘体填充沟槽的其余部分,形成帽盖层。
在一个实施例中,用低阻导电材料部分地填充沟槽形成栅电极的步骤包括在沟槽中和第一绝缘层上形成低阻导电材料,并相对于第一绝缘层和侧壁间隔层选择性地腐蚀低阻导电材料,由此从第一绝缘层的上表面凹下选择的深度的步骤。优选,低阻导电材料选自由氮化钛/钨、多晶硅和多晶硅/硅化物组成的组。较优选氮化钛/钨的双层结构。此外,可以使用高温时稳定的金属。
在另一实施例中,用低阻导电材料部分地填充槽形成栅电极的步骤包括以下步骤:在沟槽中和第一绝缘层上保形地形成低阻导电材料;在保形的低阻导电材料上形成腐蚀中止层以填充沟槽;腐蚀腐蚀中止层和沟槽外的保形的低阻导电材料;以及从沟槽除去其余的腐蚀中止层。
在又一实施例中,用低阻导电材料部分地填充槽形成栅电极的步骤包括以下步骤:在沟槽中和第一绝缘层上形成低阻导电材料完全地填充沟槽;平面化导电材料直到第一绝缘层的上表面完全露出;以及深腐蚀导电材料并从绝缘层的上表面凹下选择的深度。
此外,在半导体衬底上形成虚拟栅极图形,虚拟栅极图形具有侧壁间隔层的步骤包括以下步骤:在半导体衬底上形成牺牲绝缘层;在牺牲绝缘层上形成虚拟的栅极材料;构图虚拟的栅极材料层在牺牲绝缘层上形成虚拟的栅极图形;在牺牲绝缘层和虚拟栅极图形上形成间隔层,间隔层相对于第一绝缘层具有腐蚀选择性;以及腐蚀间隔层形成侧壁间隔层。这里,虚拟栅极图形由相对于间隔层和第一绝缘层具有腐蚀选择性的材料形成。例如,可以使用多晶硅和非晶的多晶硅。为了得到良好的虚拟栅极剖面,较优选容易腐蚀的多晶硅。侧壁间隔层和帽盖层由相对于第一绝缘层具有腐蚀选择性的绝缘体形成。此外,另一间隔层可以形成在沟槽的内侧壁上以得到倾斜的侧壁剖面,与底部相比上部较宽。沟槽的所述侧壁剖面能改善低阻导电材料的台阶覆盖。优选,另一侧壁间隔层由与虚拟栅极图形的侧壁间隔层相同的材料形成。此外,形成虚拟栅极图形具有倾斜的侧壁剖面,它的上部比底部宽。因此,沟槽有比底部宽的上部。优选用相对于第一绝缘层具有腐蚀选择性的绝缘体填充沟槽的其余部分形成帽盖层的步骤之后进行以下步骤:在第一绝缘层和帽盖层上形成第二绝缘层,第二绝缘层相对于侧壁间隔层和帽盖层具有腐蚀选择性;构图第二和第一绝缘层形成开口;除去其余的牺牲绝缘层露出栅极图形外的衬底;在第二绝缘层上形成导电层填充开口;以及腐蚀导电层和第二绝缘层直到露出帽盖层,形成自对准接触焊盘。优选,导电层由与低阻导电材料相同的材料形成。
优选形成虚拟栅极图形之后,通过使用虚拟栅极图形作为掩模以及注入第一种杂质和退火进一步在虚拟栅极图形之外的衬底中形成LDD区。此时,相对于侧壁间隔层和第一绝缘层选择性地除去虚拟栅极图形形成沟槽露出半导体衬底的步骤包括以下步骤:相对于侧壁间隔层、第一绝缘层以及牺牲绝缘层选择性地除去虚拟栅极图形;将第二种杂质注入到露出的牺牲绝缘层内并退火在衬底中形成自对准沟道区;以及除去露出的牺牲绝缘层露出衬底。
此外,相对于侧壁间隔层和第一绝缘层选择性除去虚拟栅极图形形成沟槽露出半导体衬底的步骤之后进行以下步骤:除去侧壁间隔层和部分第一绝缘层以扩大沟槽的宽度,扩大的沟槽具有基本上垂直的侧壁剖面;以及在扩大的沟槽的侧壁上形成倒置的侧壁间隔层,由此与沟槽的顶部相比所得沟槽的底部变窄。此时,侧壁间隔层由与第一绝缘层相同的材料形成,倒置的侧壁间隔层由相对于第一绝缘层有腐蚀选择性的材料形成。
具体地,为了实现本发明的目的,提供一种半导体器件的形成方法,此方法包括以下步骤:在半导体衬底上形成依次由牺牲绝缘层和虚拟栅极材料层构成的虚拟栅极图形;在虚拟栅极图形的侧壁上形成侧壁间隔层;在半导体衬底的整个表面上形成第一绝缘层,第一绝缘层具有平坦的上表面并与虚拟栅极图形的高度相同;相对于侧壁间隔层和第一绝缘层选择性地除去虚拟栅极图形,形成沟槽露出半导体衬底的选择部分;在露出的半导体衬底上形成栅极氧化层;用低阻导电材料部分地填充沟槽形成栅电极;用相对于第一绝缘层具有腐蚀选择性的绝缘体填充沟槽的其余部分形成帽盖层;在第一绝缘层和帽盖层上形成第二绝缘层,第二绝缘层相对于侧壁间隔层和帽盖层具有腐蚀选择性;以及相对于间隔层和帽盖层选择性腐蚀第二和第一绝缘层,形成自对准接触开口露出栅电极外的衬底。
在以上所述方法中,用低阻导电材料部分地填充沟槽形成栅电极的步骤包括以下步骤:在沟槽和第一绝缘层中形成低阻导电材料完全地填充沟槽;平面化导电材料直到露出第一绝缘层的上表面;以及选择性地深腐蚀导电材料并从绝缘层的上表面凹下选择的深度。
具体地,为了实现本发明的目的,提供一种半导体器件的形成方法。方法包括以下步骤:在半导体衬底上形成第一虚拟栅极图形和第二虚拟栅极图形,每个虚拟栅极图形具有侧壁间隔层,第二虚拟栅极图形比第一虚拟栅极图形宽;在半导体衬底的整个表面上形成第一绝缘层,第一绝缘层具有平坦的上表面并与虚拟栅极图形高度相同;除去第一和第二虚拟栅极图形形成露出衬底选择部分的第一和第二沟槽;分别在第一和第二沟槽上形成第一和第二栅极氧化层;在第一绝缘层上形成低阻材料,由此完全地填充第一沟槽并由于两者之间的宽度差异部分地填充第二沟槽;在导电材料上形成腐蚀中止层,完全地填充第二沟槽的其余部分;腐蚀腐蚀中止层直到露出沟槽外的导电材料;使用其余的腐蚀中止层作为腐蚀掩模并腐蚀第一沟槽中的导电材料层,从第一绝缘层的上表面下凹;以及形成绝缘体填充第一和第二沟槽的其余部分形成第一帽盖层和第二帽盖层。
在以上所述的方法中,除去第一和第二虚拟栅极图形形成露出衬底选择部分的第一和第二沟槽的步骤之后进行以下步骤:除去间隔层的侧壁以扩大沟槽的宽度,扩大的沟槽具有基本上垂直的侧壁剖面;以及在扩大的沟槽侧壁上形成倒置的侧壁间隔层,由此与沟槽的顶部相比所得沟槽的底部变窄。此时,侧壁间隔层由与第一绝缘层相同的材料形成,倒置的侧壁间隔层由相对于第一绝缘层具有腐蚀选择性的材料形成。
此方法还包括从第二沟槽除去其余的腐蚀中止层。
腐蚀中止层由光致抗蚀剂层或旋涂玻璃层形成。
以上所述的方法还包括以下步骤:的第一绝缘层和帽盖层上形成第二绝缘层,第二绝缘层相对于侧壁间隔层和帽盖层具有腐蚀选择性;以及相对于间隔层和帽盖层选择性腐蚀第二和第一绝缘层,形成露出栅电极外衬底的自对准接触开口。这里,第一和第二绝缘层分别由氧化硅形成,帽盖层和侧壁间隔层分别由氮化硅形成。
优选,每个虚拟栅极图形由牺牲绝缘层和虚拟栅极材料层的叠层形成。这里,形成虚拟栅极图形的步骤之后进行通过使用虚拟栅极图形作为注入掩模以及注入第一种杂质并退火,在虚拟栅极图形外的衬底中形成LDD区的步骤。此外,除去虚拟栅极图形的步骤形成沟槽露出衬底的步骤包括以下步骤:相对于侧壁间隔层、第一绝缘层和牺牲绝缘层选择性除去虚拟栅极图形;将第二种杂质注入到露出的牺牲绝缘层内并退火在衬底中形成自对准沟道区;以及除去露出的牺牲绝缘层露出衬底。
通过参考如下的附图,本领域中的技术人员将理解本发明并且本发明的目的将变得很显然:
图1和2为示出常规的虚拟栅极工艺问题的半导体衬底的剖面图;
图3到14为在根据本发明金属镶嵌栅电极形成方法的选择阶段半导体衬底的剖面图;
图15到28为在形成金属镶嵌栅电极的本发明一个实施例的选择阶段,半导体衬底的剖面图;以及
图29到33为在形成金属镶嵌栅电极的本发明另一个实施例的选择阶段,半导体衬底的剖面图。
现在参考示出了本发明优选实施例的附图更详细地介绍本发明。然而,本发明可以不同的形式实施,并不限于这里陈述的实施例。相反,提供这些实施例以便本公开更充分和完整,并向本领域中的技术人员完全地传达出本发明的范围。在图中,为清楚起见放大了层和区域的厚度。
标准的光刻工艺包括产生含有要形成的元件图形的光刻掩模,用称做光致抗蚀剂的感光材料覆盖晶片,将覆盖有光致抗蚀剂的晶片暴露到紫外线光,穿过掩模软化或硬化部分光致抗蚀剂(取决于使用的是正性还是负性光致抗蚀剂),除去未被光致抗蚀剂保护的材料,然后剥离剩余的光致抗蚀剂。在这里和许多其它集成电路制造工艺中广泛地使用的另一种公知工艺是化学机械抛光(CMP)。这些和其它标准工艺在这里经常提到,同时没有对公知技术进行详细介绍。半导体衬底的导电性与LDD区和源/漏区的相反。例如,如果衬底为p型,那么将n型杂质注入到衬底内,反之亦然。在本发明中,使用p型衬底。
图3到12为示意性地示出了根据本发明的形成金属镶嵌栅电极和自对准接触的半导体衬底的剖面图。虽然在半导体衬底上同时形成了多个栅极图形,但为清楚起见和较好地理解本发明,在图3到12中仅示出了其中一个。
现在参考图3A,提供具有虚拟栅极图形140和侧壁间隔层180的p型半导体衬底100。具体地,在半导体衬底100的预定部分形成器件隔离区120,定义出有源区。通过如浅沟槽隔离和硅的局部氧化等的公知技术形成器件隔离区120。在具有器件隔离区120的所得结构上形成牺牲绝缘层130。牺牲绝缘层130由氧化层形成。可以通过低压化学汽相淀积(LPCVD)技术和等离子体增强化学汽相淀积(PECVD)形成牺牲绝缘层130。优选,通过热工艺形成厚度约100到300埃的牺牲绝缘层130。
虚拟栅极材料层形成在牺牲绝缘层130上并构图形成虚拟栅极图形140。形成虚拟栅极图形140之后,使用虚拟栅极图形140做注入掩模进行用于轻掺杂漏区(LDD)的杂质注入。优选,以约1×1013atoms/cm2的剂量约20到30keV的能量注入磷。之后,进行退火工艺激活注入的杂质,以消除衬底缺陷,由此形成LDD区160。在虚拟栅极图形140的侧壁上形成绝缘间隔层180。
具体地,虚拟栅极材料由相对于虚拟栅极间隔层180由优良腐蚀选择性的材料形成,并随后形成第一和第二绝缘层(参考图4的200和图9的260)。优选材料能提供需要的虚拟栅极图形剖面。例如,当虚拟栅极间隔层由氮化硅形成时,第一和第二绝缘层由氧化物形成,虚拟栅极材料层由多晶硅、非晶硅等形成。优选,通过LPCVD由多晶硅形成厚度约4000到5000埃的虚拟栅极材料层。在相对于下面的牺牲氧化层130具有高选择性的腐蚀条件下进行虚拟栅极图形的构图。此时,仔细地控制形成顶部比底部宽的虚拟栅极图形,即从顶部到底部的反坡。由此,随后形成的沟槽(参考图5的220)具有比底部宽的顶部开口,为栅极材料层淀积提供了良好的布局。形成虚拟栅极图形140之后,根据制造工艺腐蚀虚拟栅极图形140之外的牺牲氧化层130,如图3B所示。
虚拟栅极间隔层180由氮化硅层形成。具体地,氮化硅层保形地形成在虚拟栅极图形140和牺牲氧化层130之上。优选,通过PECVD、LPCVD等形成厚度约500到600埃的氮化硅层。然后各向异性地腐蚀淀积的氮化硅层在虚拟栅极图形140的侧壁上形成虚拟栅极间隔层180。
现在参考图4,第一绝缘层形成具有虚拟栅极间隔层180的所得结构上。第一绝缘层由掺杂或未掺杂的氧化硅层形成。具体地,通过PECVD、常压CVD(APCVD)等形成厚度约4000到5000埃的第一绝缘层。随后,进行平面化工艺直到露出虚拟栅极图形140的上表面,形成平面化的第一绝缘层120。例如,可以使用化学机械抛光(CMP)或深腐蚀。
接下来,参考图5,除去虚拟栅极图形140在该处对应地形成沟槽220。在相对于第一绝缘层200和虚拟栅极间隔层180有高选择性的腐蚀条件下除去虚拟栅极图形140。可以使用干腐蚀或湿腐蚀。在低功率高压力下进行干腐蚀以增强各向同性腐蚀特性。可以使用SF6、O2等作为源气体。湿腐蚀使用HNO3、HF、CH3COOH和H2O的混合物。此外,可以组合干腐蚀和湿腐蚀,优选依次进行干腐蚀和湿腐蚀。
用低阻导电材料填充沟槽220。因此,为了改善导电材料的台阶覆盖,在沟槽的侧壁上形成绝缘间隔层(未示出),朝沟槽内部延伸。由此,沟槽的上部开口与底部相比变得较宽。
接下来,通过由沟槽220露出的牺牲氧化层130杂质注入到衬底100内并随后退火形成沟道区225。此时,沟道区225以自对准方式形成在虚拟栅极图形140下的衬底中100,即已形成的LDD区160之间。因此,与在整个衬底上进行的常规沟道注入相比,可以减小结泄漏和结电容。
优选,以约1×1013atoms/cm2的剂量约20到30keV的能量注入B(硼)或BF2。使用缓冲的氢氟酸除去沟道区225上露出的牺牲氧化层130,露出衬底100。
接下来,参考图6,在沟槽220露出的衬底100上形成栅极氧化层130a。由于在虚拟栅极图形的工艺以及杂质注入和退火之后形成栅极氧化层130a,因此可以得到可靠的栅极氧化物。栅极氧化层130a可以由氮氧化硅层、氧化钽(Ta2O5)以及氧化硅形成。由于与氧化硅相比氮氧化硅层和氧化钽具有高介电常数,因此它们可以形成得较厚,由此避免了需要仔细工艺控制的薄栅极氧化物工艺。当使用氧化硅时,通过热氧化工艺形成到约40到50埃的厚度。当使用氧化钽时,形成到约100到150埃的厚度。当使用由氮氧化硅层时,形成到约60到70埃的厚度。
形成栅极氧化层130a之后,形成低阻导电材料230完全地填充第一绝缘层200上的沟槽220。低阻导电材料230优选由金属形成。优选淀积金属期间金属对氧化层130a的损伤最小,金属具有良好的台阶覆盖特性无空隙地填充沟槽。具体地,通过原子层淀积(ALD)技术或CVD技术形成厚度约200埃的氮化钛层。通过CVD技术形成厚度约2000埃的钨。可以使用高温时稳定的硅化物或金属。也可以使用多晶硅、多晶硅/硅化钨。
接下来,如图7所示,通过深腐蚀技术,完全除去沟槽220外的低阻导电材料230,同时,挖出导电材料进入沟槽内距第一绝缘层200的上表面预定的深度(参考245),由此形成低阻栅电极240。这里,凹陷尺寸取决于栅极的表面电阻和用于自对准接触腐蚀的栅极帽盖层的厚度。优选,凹陷尺寸约2000埃。
此外,凹陷的栅电极240可以如下形成。首先,如图6B所示进行平面化工艺直到露出第一绝缘层200的上表面,然后进行深腐蚀形成凹陷的栅电极240。
现在参考图8,形成栅极帽盖层250直到第一绝缘层200填充凹陷区245。栅极帽盖层250保护栅电极240,并由相对于随后形成的第二绝缘层(参考图9的260)具有腐蚀选择性的材料形成。优选,栅极帽盖层250由与虚拟栅极间隔层180相同的材料形成。由此,栅电极240完全由保护层覆盖,即,虚拟栅极间隔层180用于侧壁保护,帽盖层250用于上表面保护。
接下来的工艺是形成自对准的接触并示意性地显示在图9到12中。现在参考图9,第二绝缘层260形成在第一绝缘层200和栅极帽盖层250上。第二绝缘层260由相对于帽盖层250和虚拟栅极间隔层180具有腐蚀选择性的材料形成。优选,通过PECVD由氧化硅形成厚度约1500到2000埃的第二绝缘层260。通过光刻和腐蚀工艺,相对于间隔层180和帽盖层250选择性构图第二绝缘层260、第一绝缘层200和牺牲氧化层130,由此形成自对准接触开口280,露出栅电极之间的LDD区160,如图10所示。
随后,在第二绝缘层260上形成导电层290,如图11所示填充开口280。优选,导电层290由与栅电极240相同的材料形成。接下来,进行如CMP或深腐蚀等的平面化工艺直到露出栅极帽盖层250的上表面,形成与相邻结构电绝缘的接触焊盘300,如图12所示。
随后,进行位线、电容器、金属布线以及钝化的常规工艺。
在以上介绍的形成金属镶嵌栅极和自对准接触的方法中,如果虚拟栅极图形的宽度较宽,那么可以不同的方式形成凹陷的栅电极,并示意性地显示在图13到14。如图5所示形成沟槽220之后,低阻导电材料淀积在第一绝缘层200上。然而,由于沟槽的宽度较宽,淀积的导电材料230仅填充部分沟槽。即,导电材料230保形地形成在第一绝缘层200上和沟槽220中。因此,需要仅腐蚀沟槽外的导电材料230。为此,保护沟槽220内导电材料层230的腐蚀中止层235形成在保形的材料层230上,如图13所示。腐蚀中止层235可以由可软熔(re-flowable)层形成,例如光致抗蚀剂层或旋涂玻璃层。所述层可以在低温下流动,由此适合于平面化。这里,要注意的是腐蚀中止层235在沟槽220外形成得较薄,在沟槽220内较厚,基本上填充了沟槽220。
接下来,进行深腐蚀工艺直到露出第一绝缘层200的上表面。在所述深腐蚀工艺期间,完全地除去了沟槽220外的腐蚀中止层235和导电材料230。然而,在沟槽220中,由于厚腐蚀中止层235,留下部分腐蚀中止层235直到露出沟槽外的第一绝缘层200,由此保护了下面的导电材料230,如图14所示。除去其余的腐蚀中止层235形成凹陷的栅电极。
图24到28为根据本发明的另一实施例在形成金属镶嵌栅极和自对准接触的方法的选择阶段的半导体衬底的剖面图。在图中,为简化仅示出了一个栅极图形。
在图24到28中,功能与图3到12相同的部分用相同的数字表示,并且省略了对它们的介绍。与图3到12显著差异之处在于除去虚拟栅极间隔层并形成朝沟槽内部延伸的倒置间隔层。此外,虚拟栅极间隔层与图3到12中的氮化硅层的材料不同。虚拟侧壁间隔层180a由相对于第一绝缘层200不具有腐蚀选择性的材料形成。优选,本实施例的虚拟侧壁间隔层180a由与第一绝缘层200相同的材料形成,即氧化硅层。
参考图24,通过和图5一样除去虚拟栅极图形形成沟槽200。
接下来,除去虚拟侧壁间隔层180a。这里,也除去部分第一绝缘层200,特别是沟槽220的上部边缘,由此扩大了沟槽宽度尺寸,扩大的沟槽220a的侧壁剖面基本上垂直,如图25所示。
接下来,参考图26,在扩大的沟槽220a的侧壁上,形成朝扩大的沟槽220a内部延伸的倒置间隔层180b。倒置的间隔层180b由相对于第一绝缘层200具有腐蚀选择性的材料形成。例如,倒置的间隔层180b由氮化硅层形成。由于倒置的间隔层180b,沟槽220的顶部开口比底部宽,为随后的导电材料淀积提供了良好的布局。随后,穿过牺牲氧化层130,将杂质注入到衬底100内,然后退火形成沟道区225。除去露出的牺牲氧化层130并形成栅极氧化层130a。
接下来,在第一绝缘层200上形成低阻导电材料填充沟槽220。在导电材料上进行CMP平面化工艺直到露出层间绝缘层200的上表面。随后,进行深腐蚀工艺挖去沟槽220中的导电材料形成凹陷的栅电极240,如图27所示。
此外,和图3到12的前一实施例中介绍的一样,可以仅通过深腐蚀工艺不进行CMP平面化形成凹陷的栅电极240。
现在参考图28,在第一绝缘层200上形成栅极帽盖层250填充凹陷区245。如图所示,栅电极完全由保护层包括,即帽盖层250和倒置的间隔层180b。此外,保护层的外形显示出矩形结构,由此增强了栅电极上部边缘部分的保护功能。因此,增加了随后自对准接触工艺的安全裕度。随后,与图9到12中示出的相同方式进行自对准接触工艺。
以上为简化在各图中仅示出了一个栅电极(可以为单元区或核心(core)/周边区的栅电极)。以下介绍本发明的实施例,涉及单元阵列区和核心/周边区,并示意性地显示在图15到23中。众所周知,单元阵列区的栅电极尺寸小于核心/周边区的栅电极尺寸。
在图15到23中,功能与图3到12相同的部分用相同的数字表示,并且省略了对它们的介绍。现在参考图15,在半导体衬底100中形成器件隔离区120,定义出单元阵列区和核心/周边区的有源区。和以前介绍的一样形成牺牲氧化层130、虚拟栅极图形140a和140b、虚拟栅极侧壁间隔层180和LDD区160。与单元阵列区的虚拟栅极图形140a相比,核心/周边区的虚拟栅极图形140b形成得较宽。形成虚拟栅极侧壁间隔层180之后,使用虚拟栅极图形140b和间隔层180做掩摸将杂质注入到核心/周边区内形成源/漏区。当为NMOS晶体管时,以约1×1014atoms/cm2到5×1014atoms/cm2的剂量约20到30keV的能量注入磷,并进行退火工艺形成源/漏区160a。
现在参考图16,在所得结构上形成平坦的第一绝缘层200。通过除去单元阵列区和核心/周边区的虚拟栅极图形140a和140b形成沟槽220a和220b,如图17所示。进行沟道杂质注入和退火工艺形成沟道区225。除去由沟槽220a和220b露出的牺牲氧化层130,在沟道区225上形成栅极氧化层130a。接下来,在第一绝缘层200上形成低阻导电材料230,如图18所示。然而这里,应该注意的是单元阵列区的沟槽220a完全由导电材料230填充,但由于两者之间的宽度差异,核心/周边区的沟槽220b仅部分填充。由此,在核心/周边区的沟槽220b内形成凹陷区231。
接下来,如图19所示,在导电材料上形成腐蚀中止层235。腐蚀中止层235由可软熔层形成,例如光致抗蚀剂层或旋涂玻璃层。此时,腐蚀中止层235在单元阵列区中形成得较薄,在核心/周边区的沟槽220b内形成得较厚,填充了凹陷区231。
之后,进行形成单元阵列区的凹陷栅的腐蚀工艺。如图20所示,首先,腐蚀核心/周边区的沟槽220b外的腐蚀中止层235,直到露出导电材料230的上表面。此时,厚腐蚀中止层235a仍留在沟槽220b中的导电材料上,覆盖并保护核心/周边区的导电材料。接着,在露出的导电材料上进行腐蚀工艺除去沟槽220a外的导电材料,同时腐蚀沟槽中得部分导电材料形成凹陷的栅电极240,并在单元阵列区露出第一层间绝缘层200。此时,核心/周边区的沟槽220b中的导电材料由厚腐蚀中止层235a保护。对导电材料的腐蚀工艺仅腐蚀了沟槽220b中的部分厚腐蚀中止层235a,由此剩余的腐蚀中止层235b覆盖了核心/周边区的沟槽220b中的导电材料。接下来,除去剩余的腐蚀中止层235b露出核心/周边区凹陷的栅电极240。根据制造工艺,不能除去剩余的腐蚀中止层。
现在参考图22,在凹陷的栅电极240上形成栅极帽盖层250。第二绝缘层260形成在栅极帽盖层250和第一绝缘层200上。形成自对准接触开口280,如图23所示。随后,形成导电层并平面化形成图12所示的接触焊盘。
图29到33为根据本发明的另一实施例在形成金属镶嵌栅极和自对准接触的方法的选择阶段的半导体衬底的剖面图。在图29到33中,功能与图3到28相同的部分用相同的数字表示,并且省略了对它们的介绍。
与参考图24到26介绍的相同方式,如图29所示形成倒置的间隔层180b。杂质注入到由沟槽露出的衬底100内,并进行退火工艺形成沟道区225。除去牺牲氧化层之后,形成栅极氧化层130a,如图30所示。与图15到23不同,根据本实施例,用低阻导电材料230填充沟槽220a和220b。
随后,如图31所示,平面化低阻导电材料230直到露出第一绝缘层200的上表面。对平面化的导电材料230a进行深腐蚀工艺,在单元和核心/周边区都形成图32所示的凹陷栅电极240。接下来,用帽盖层250填充凹陷的区域以保护栅电极240的顶部,如图33所示。因此,单元和核心/周边区的每个栅电极240完全由保护层覆盖,即倒置的间隔层180b和帽盖层250。由于倒置的间隔层180b,保护层显示为矩形结构。由此,可以增加栅电极240顶部边缘部分未对准的安全裕度。
如上所述,根据本发明,低阻栅电极可以裕自对准接触工艺同时实现。
即,采用金属镶嵌栅极工艺,可以阻止由栅极构图造成的等离子体损伤,并且可以形成金属栅极,由此提供了高速操作。
由于在形成LDD区的步骤之后形成栅电极,因此栅极侵蚀可以最小化。此外,由于形成LDD区的步骤之后以自对准方式形成沟道区,因此可以减少结电容和结泄漏,并且可以防止沟道区掺杂剂的重新分布。
在金属镶嵌栅极工艺中,可以实现位线和存储节点的自对准接触工艺。此外,由于倒置的间隔层,可以增加栅极顶部边缘部分的厚度,由此提供了自对准接触的工艺裕度。

Claims (29)

1.一种栅极电阻减小的半导体器件的形成方法,此方法包括:
在半导体衬底的选定部分上形成虚拟栅极图形,虚拟栅极图形具有侧壁间隔层;
在半导体衬底的整个表面上形成第一绝缘层,第一绝缘层具有平坦的上表面,并且与虚拟栅极图形高度相同;
相对于侧壁间隔层和第一绝缘层选择性地除去虚拟栅极图形,形成露出所述半导体衬底的所述选定部分的沟槽;
在露出的半导体衬底上形成栅极绝缘层;
用低阻导电材料部分地填充沟槽形成栅电极;以及
用相对于第一绝缘层具有腐蚀选择性的绝缘体填充沟槽的其余部分,形成帽盖层。
2.根据权利要求1的方法,其中用低阻导电材料部分地填充沟槽形成栅电极的步骤包括以下步骤:
在沟槽中和第一绝缘层上形成低阻导电材料;以及
相对于第一绝缘层和侧壁间隔层选择性地腐蚀低阻导电材料,由此从第一绝缘层的上表面凹下选择的深度。
3.根据权利要求1的方法,其中低阻导电材料选自由氮化钛/钨、多晶硅和多晶硅/硅化物组成的组。
4.根据权利要求1的方法,其中用低阻导电材料部分地填充槽形成栅电极的步骤包括以下步骤:
在沟槽中和第一绝缘层上保形地形成低阻导电材料;
在保形的低阻导电材料上形成腐蚀中止层以填充沟槽;
腐蚀腐蚀中止层和沟槽外的保形的低阻导电材料;以及
从沟槽除去其余的腐蚀中止层。
5.根据权利要求1的方法,其中在半导体衬底上形成虚拟栅极图形,虚拟栅极图形具有侧壁间隔层的步骤包括以下步骤:
在半导体衬底上形成牺牲绝缘层;
在牺牲绝缘层上形成虚拟的栅极材料;
构图虚拟的栅极材料层在牺牲绝缘层上形成虚拟的栅极图形;
在牺牲绝缘层和虚拟栅极图形上形成间隔层,间隔层相对于第一绝缘层具有腐蚀选择性;以及
腐蚀间隔层形成侧壁间隔层。
6.根据权利要求5的方法,其中形成虚拟栅极图形之后,通过使用虚拟栅极图形作为掩模以及注入第一种杂质和退火进一步在虚拟栅极图形之外的衬底中形成LDD区,
其中相对于侧壁间隔层和第一绝缘层选择性地除去虚拟栅极图形形成沟槽露出半导体衬底的步骤包括以下步骤:
相对于侧壁间隔层、第一绝缘层以及牺牲绝缘层选择性地除去虚拟栅极图形;
将第二种杂质注入到露出的牺牲绝缘层内并退火在衬底中形成自对准沟道区;以及
除去露出的牺牲绝缘层露出衬底的选择部分。
7.根据权利要求5的方法,还包括:
在第一绝缘层和帽盖层上形成第二绝缘层,第二绝缘层相对于侧壁间隔层和帽盖层具有腐蚀选择性;
构图第二和第一绝缘层形成开口;
除去其余的牺牲绝缘层露出栅极图形外的衬底;
在第二绝缘层上形成导电层填充开口;以及
腐蚀导电层和第二绝缘层直到露出帽盖层,形成自对准接触焊盘。
8.根据权利要求5的方法,其中导电层由与低阻导电材料相同的材料形成。
9.根据权利要求1的方法,其中栅极绝缘层由选自氧化硅、氮氧化硅层、以及氧化钽组成的组,侧壁间隔层和帽盖层分别由氮化硅层形成,第一绝缘层由氧化硅形成。
10.根据权利要求1的方法,其中用低阻导电材料部分地填充槽形成栅电极的步骤包括以下步骤:
在沟槽中和第一绝缘层上形成低阻导电材料完全地填充沟槽;
平面化导电材料直到第一绝缘层的上表面完全露出;以及
深腐蚀导电材料并从绝缘层的上表面凹下选择的深度。
11.根据权利要求1的方法,其中相对于侧壁间隔层和第一绝缘层选择性除去虚拟栅极图形形成沟槽露出半导体衬底的步骤之后进行以下步骤:
除去侧壁间隔层和部分第一绝缘层以扩大沟槽的宽度,扩大的沟槽具有基本上垂直的侧壁剖面;以及在
扩大的沟槽的侧壁上形成倒置的侧壁间隔层,由此与沟槽的顶部相比所得沟槽的底部变窄。
12.根据权利要求11的方法,其中侧壁间隔层由与第一绝缘层相同的材料形成,倒置的侧壁间隔层由相对于第一绝缘层有腐蚀选择性的材料形成。
13.一种栅极电阻减小的半导体器件的形成方法,该方法包括:
在半导体衬底的选定部分上形成依次由牺牲绝缘层和虚拟栅极材料层构成的虚拟栅极图形;
在虚拟栅极图形的侧壁上形成侧壁间隔层;
在半导体衬底的整个表面上形成第一绝缘层,第一绝缘层具有平坦的上表面并与虚拟栅极图形的高度相同;
相对于侧壁间隔层和第一绝缘层选择性地除去虚拟栅极图形,形成沟槽露出半导体衬底的选定部分;
在露出的半导体衬底上形成栅极氧化层;
用低阻导电材料部分地填充沟槽形成栅电极;
用相对于第一绝缘层具有腐蚀选择性的绝缘体填充沟槽的其余部分形成帽盖层;
在第一绝缘层和帽盖层上形成第二绝缘层,第二绝缘层相对于侧壁间隔层和帽盖层具有腐蚀选择性;以及
相对于间隔层和帽盖层选择性腐蚀第二和第一绝缘层,形成自对准接触开口露出栅电极外的衬底。
14.根据权利要求13的方法,其中形成虚拟栅极图形的步骤之后进行通过使用虚拟栅极图形作为注入掩模以及注入第一种杂质并退火,在虚拟栅极图形外的衬底中形成LDD区的步骤,
其中除去虚拟栅极图形的步骤形成沟槽露出衬底的步骤包括以下步骤:
相对于侧壁间隔层、第一绝缘层和牺牲绝缘层选择性除去虚拟栅极图形;
将第二种杂质注入到露出的牺牲绝缘层内并退火在衬底中形成自对准沟道区;以及
除去露出的牺牲绝缘层露出衬底。
15.根据权利要求13的方法,其中帽盖层和侧壁间隔层分别由氮化硅形成,第一和第二绝缘层分别由氧化硅形成。
16.根据权利要求13的方法,其中低阻导电材料选自由氮化钛/钨、多晶硅和多晶硅/硅化物组成的组。
17.根据权利要求14的方法,其中栅极绝缘层由选自氧化硅、氮氧化硅层、以及氧化钽组成的组。
18.根据权利要求14的方法,还包括以下步骤:
在自对准接触开口和第二绝缘层中形成导电层;以及
腐蚀导电层和第二绝缘层直到露出帽盖层的上表面,形成自对准接触焊盘。
19.根据权利要求18的方法,其中导电层由与低阻导电材料相同的材料形成。
20.根据权利要求13的方法,其中用低阻导电材料部分地填充沟槽形成栅电极的步骤包括以下步骤:
在沟槽和第一绝缘层中形成低阻导电材料完全地填充沟槽;
平面化导电材料直到露出第一绝缘层的上表面;以及
选择性地深腐蚀导电材料并从绝缘层的上表面凹下选择的深度。
21.根据权利要求13的方法,其中相对于侧壁间隔层和第一绝缘层选择性除去虚拟栅极图形形成沟槽露出半导体衬底的步骤之后进行以下步骤:
除去侧壁间隔层和部分第一绝缘层以扩大沟槽的宽度,扩大的沟槽具有基本上垂直的侧壁剖面;以及
在扩大的沟槽的侧壁上形成倒置的侧壁间隔层,由此与沟槽的顶部相比所得沟槽的底部变窄。
22.根据权利要求21的方法,其中侧壁间隔层由与第一绝缘层相同的材料形成,倒置的侧壁间隔层由相对于第一绝缘层有腐蚀选择性的材料形成。
23.一种具有减小的栅极电阻和自对准接触焊盘的半导体器件的形成方法,该方法包括:
在半导体衬底上形成第一虚拟栅极图形和第二虚拟栅极图形,每个虚拟栅极图形具有侧壁间隔层,第二虚拟栅极图形比第一虚拟栅极图形宽;
在半导体衬底的整个表面上形成第一绝缘层,第一绝缘层具有平坦的上表面并与虚拟栅极图形高度相同;
除去第一和第二虚拟栅极图形形成露出衬底选择部分的第一和第二沟槽;
分别在第一和第二沟槽上形成第一和第二栅极氧化层;
在第一绝缘层上形成低阻材料,由此完全地填充第一沟槽并由于两者之间的宽度差异部分地填充第二沟槽;
在导电材料上形成腐蚀中止层,完全地填充第二沟槽的其余部分;
腐蚀腐蚀中止层直到露出沟槽外的导电材料;
使用其余的腐蚀中止层作为腐蚀掩模并腐蚀第一沟槽中的导电材料层,从第一绝缘层的上表面下凹;以及
形成绝缘体填充第一和第二沟槽的其余部分形成第一帽盖层和第二帽盖层。
24.根据权利要求23的方法,其中除去第一和第二虚拟栅极图形形成露出衬底选择部分的第一和第二沟槽的步骤之后进行以下步骤:
除去间隔层的侧壁以扩大沟槽的宽度,扩大的沟槽具有基本上垂直的侧壁剖面;以及
在扩大的沟槽侧壁上形成倒置的侧壁间隔层,由此与沟槽的顶部相比所得沟槽的底部变窄。
25.根据权利要求24的方法,其中侧壁间隔层由与第一绝缘层相同的材料形成,倒置的侧壁间隔层由相对于第一绝缘层有腐蚀选择性的材料形成。
26.根据权利要求23的方法,其中腐蚀中止层由光致抗蚀剂层或旋涂玻璃层形成。
27.根据权利要求23的方法,其中帽盖层和侧壁间隔层分别由氮化硅形成,第一和第二绝缘层分别由氧化硅形成。
28.根据权利要求27的方法,还包括以下步骤:
在第一绝缘层和帽盖层上形成第二绝缘层,第二绝缘层相对于侧壁间隔层和帽盖层具有腐蚀选择性;以及
相对于间隔层和帽盖层选择性腐蚀第二和第一绝缘层,形成自对准接触开口露出栅电极外的衬底。
29.根据权利要求23的方法,还包括从第二沟槽除去其余的腐蚀中止层。
CNB011109904A 2000-03-09 2001-03-09 在金属镶嵌栅极工艺中形成自对准接触焊盘的方法 Expired - Lifetime CN1177353C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR20000011821 2000-03-09
KR11821/2000 2000-03-09
KR55794/2000 2000-09-22
KR1020000055794A KR100350056B1 (ko) 2000-03-09 2000-09-22 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법

Publications (2)

Publication Number Publication Date
CN1319881A true CN1319881A (zh) 2001-10-31
CN1177353C CN1177353C (zh) 2004-11-24

Family

ID=26637408

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB011109904A Expired - Lifetime CN1177353C (zh) 2000-03-09 2001-03-09 在金属镶嵌栅极工艺中形成自对准接触焊盘的方法

Country Status (5)

Country Link
US (1) US6613621B2 (zh)
JP (1) JP4903313B2 (zh)
KR (1) KR100350056B1 (zh)
CN (1) CN1177353C (zh)
TW (1) TW559913B (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7955964B2 (en) 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
CN102157381A (zh) * 2010-02-11 2011-08-17 三星电子株式会社 制造半导体装置的方法
US8048752B2 (en) 2008-07-24 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer shape engineering for void-free gap-filling process
CN102437186A (zh) * 2011-08-04 2012-05-02 上海华力微电子有限公司 一种有助于消除倒u形镍硅化物的器件结构及其制备工艺
CN102468174A (zh) * 2010-11-18 2012-05-23 中国科学院微电子研究所 一种半导体器件及其形成方法
CN102569052A (zh) * 2011-11-11 2012-07-11 上海华力微电子有限公司 一种有助于消除u型镍硅化物的器件结构及其相应工艺
CN102751189A (zh) * 2011-04-20 2012-10-24 中芯国际集成电路制造(上海)有限公司 晶体管的制备方法
CN102790008A (zh) * 2011-05-16 2012-11-21 中芯国际集成电路制造(上海)有限公司 形成接触插栓的方法
CN101133498B (zh) * 2005-01-03 2013-03-27 英特尔公司 使用高介电常数电介质层的量子阱晶体管
CN103137554A (zh) * 2011-11-28 2013-06-05 格罗方德半导体公司 利用牺牲栅极电极及牺牲自对准接触结构形成半导体装置的方法
CN101656205B (zh) * 2008-08-20 2013-07-24 台湾积体电路制造股份有限公司 集成电路金属栅极结构及其制造方法
CN109390408A (zh) * 2017-08-11 2019-02-26 三星电子株式会社 半导体装置
CN110892523A (zh) * 2017-07-20 2020-03-17 国际商业机器公司 形成自对准触点

Families Citing this family (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001071807A1 (fr) * 2000-03-24 2001-09-27 Fujitsu Limited Dispositif a semi-conducteur et son procede de fabrication
JP4096507B2 (ja) * 2000-09-29 2008-06-04 富士通株式会社 半導体装置の製造方法
KR100428804B1 (ko) * 2001-02-23 2004-04-29 삼성전자주식회사 반도체 제조 공정의 막질 형성 방법, 이를 이용한 트렌치 격리 형성 방법 및 그에 따른 소자 분리 트렌치 격리 구조
KR100557600B1 (ko) * 2001-06-29 2006-03-10 주식회사 하이닉스반도체 나이트라이드 cmp용 슬러리
JP4139586B2 (ja) * 2001-11-27 2008-08-27 松下電器産業株式会社 半導体装置およびその製造方法
KR100444301B1 (ko) * 2001-12-29 2004-08-16 주식회사 하이닉스반도체 질화막 cmp를 이용한 다마신 금속 게이트 형성 방법
KR100476924B1 (ko) * 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6787836B2 (en) * 2002-08-21 2004-09-07 International Business Machines Corporation Integrated metal-insulator-metal capacitor and metal gate transistor
JP4209206B2 (ja) * 2003-01-14 2009-01-14 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US7074717B2 (en) 2003-03-04 2006-07-11 Micron Technology, Inc. Damascene processes for forming conductive structures
US6867080B1 (en) * 2003-06-13 2005-03-15 Advanced Micro Devices, Inc. Polysilicon tilting to prevent geometry effects during laser thermal annealing
KR100524804B1 (ko) * 2003-06-30 2005-11-01 주식회사 하이닉스반도체 반도체 소자의 스토리지노드 콘택 플러그 형성방법
US7060581B2 (en) * 2003-10-09 2006-06-13 Kabushiki Kaisha Toshiba Method for manufacturing a semiconductor device
US6998657B2 (en) * 2003-10-21 2006-02-14 Micron Technology, Inc. Single poly CMOS imager
US7026203B2 (en) * 2003-12-31 2006-04-11 Dongbuanam Semiconductor Inc. Method for forming dual gate electrodes using damascene gate process
US7521368B2 (en) * 2004-05-07 2009-04-21 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US6884715B1 (en) 2004-06-04 2005-04-26 International Business Machines Corporation Method for forming a self-aligned contact with a silicide or damascene conductor and the structure formed thereby
US7479684B2 (en) * 2004-11-02 2009-01-20 International Business Machines Corporation Field effect transistor including damascene gate with an internal spacer structure
KR100562309B1 (ko) * 2004-12-29 2006-03-22 동부아남반도체 주식회사 리버스 스페이서를 갖는 트랜지스터 및 그 제조 방법
US7163853B2 (en) * 2005-02-09 2007-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a capacitor and a metal gate on a semiconductor device
KR100640639B1 (ko) * 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
KR100632046B1 (ko) * 2005-07-05 2006-10-04 동부일렉트로닉스 주식회사 반도체 소자의 게이트 라인 및 그 제조 방법
US7651950B2 (en) * 2007-09-28 2010-01-26 Hynix Semiconductor Inc. Method for forming a pattern of a semiconductor device
JP5107680B2 (ja) * 2007-11-16 2012-12-26 パナソニック株式会社 半導体装置
KR101406888B1 (ko) * 2007-12-13 2014-06-30 삼성전자주식회사 반도체 소자의 제조 방법
US7723192B2 (en) * 2008-03-14 2010-05-25 Advanced Micro Devices, Inc. Integrated circuit long and short channel metal gate devices and method of manufacture
JP2008235925A (ja) * 2008-04-25 2008-10-02 Sanyo Electric Co Ltd 半導体装置の製造方法
US8237227B2 (en) 2008-08-29 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate structure for gate last process
US7915105B2 (en) * 2008-11-06 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for patterning a metal gate
US8614131B2 (en) * 2009-02-03 2013-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned static random access memory (SRAM) on metal gate
US8084320B2 (en) * 2009-07-13 2011-12-27 Winbond Electronics Corp. Non-volatile memory and method for fabricating the same
JP5550286B2 (ja) * 2009-08-26 2014-07-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR20110135136A (ko) * 2010-06-10 2011-12-16 주식회사 하이닉스반도체 반도체 장치의 극미세 패턴 형성을 위한 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8704294B2 (en) * 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
KR20130007378A (ko) * 2011-07-01 2013-01-18 삼성전자주식회사 반도체 장치
TWI508293B (zh) * 2011-07-11 2015-11-11 United Microelectronics Corp 具有金屬閘極之半導體元件及其製作方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130049123A1 (en) * 2011-08-23 2013-02-28 Globalfoundries Inc. Semiconductor Device with DRAM Word Lines and Gate Electrodes in Non-Memory Regions of the Device Comprised of a Metal, and Methods of Making Same
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20130260564A1 (en) * 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR20200054336A (ko) 2011-12-22 2020-05-19 인텔 코포레이션 반도체 구조
KR101909091B1 (ko) * 2012-05-11 2018-10-17 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8896030B2 (en) 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9583429B2 (en) * 2013-11-14 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming same
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9524965B2 (en) * 2014-02-12 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures with various widths and method for forming the same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9368591B2 (en) * 2014-07-18 2016-06-14 Globalfoundries Inc. Transistors comprising doped region-gap-doped region structures and methods of fabrication
CN105280486B (zh) * 2014-07-23 2020-09-22 联华电子股份有限公司 金属栅极结构的制作方法
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
CN105633135B (zh) * 2014-11-06 2019-03-12 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106531776B (zh) * 2015-09-11 2021-06-29 联华电子股份有限公司 半导体结构
US9780092B2 (en) * 2016-02-19 2017-10-03 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device having a filling conductor comprising a plug portion and a cap portion and manufacturing method thereof
KR102593707B1 (ko) 2016-10-05 2023-10-25 삼성전자주식회사 반도체 장치
US10714621B2 (en) * 2016-12-14 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming doped channel thereof
US10229983B1 (en) 2017-11-16 2019-03-12 International Business Machines Corporation Methods and structures for forming field-effect transistors (FETs) with low-k spacers
US10559470B2 (en) * 2018-01-22 2020-02-11 Globalfoundries Inc. Capping structure
US11482495B2 (en) * 2018-11-30 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement and method for making
US11069714B1 (en) * 2019-12-31 2021-07-20 Taiwan Semiconductor Manufacturing Company Ltd. Boundary scheme for semiconductor integrated circuit and method for forming an integrated circuit

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH069200B2 (ja) * 1987-03-31 1994-02-02 株式会社東芝 金属配線の形成方法
JPH0794715A (ja) * 1993-09-21 1995-04-07 Matsushita Electric Ind Co Ltd Mos型トランジスタの製造方法
JPH0794722A (ja) * 1993-09-24 1995-04-07 Sony Corp 積み上げ拡散層構造のmosトランジスタおよびその製造方法
US5434093A (en) * 1994-08-10 1995-07-18 Intel Corporation Inverted spacer transistor
JP3963023B2 (ja) * 1996-04-26 2007-08-22 ソニー株式会社 半導体集積装置の製造方法
JPH10144915A (ja) * 1996-11-05 1998-05-29 Fujitsu Ltd 半導体装置及びその製造方法
JPH10189966A (ja) * 1996-12-26 1998-07-21 Toshiba Corp 半導体装置及びその製造方法
JPH1126757A (ja) * 1997-06-30 1999-01-29 Toshiba Corp 半導体装置及びその製造方法
US6346438B1 (en) * 1997-06-30 2002-02-12 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
JP3025478B2 (ja) * 1998-07-13 2000-03-27 松下電器産業株式会社 半導体装置およびその製造方法
US6225173B1 (en) * 1998-11-06 2001-05-01 Advanced Micro Devices, Inc. Recessed channel structure for manufacturing shallow source/drain extensions
US6333247B1 (en) * 1999-02-10 2001-12-25 International Business Machines Corporation Two-step MOSFET gate formation for high-density devices
US6258711B1 (en) * 1999-04-19 2001-07-10 Speedfam-Ipec Corporation Sacrificial deposit to improve damascene pattern planarization in semiconductor wafers
JP3142125B2 (ja) * 1999-07-16 2001-03-07 株式会社東芝 半導体装置
KR100582370B1 (ko) * 1999-12-17 2006-05-23 주식회사 하이닉스반도체 다마신공정을 이용한 게이트전극의 제조 방법
JP2001217248A (ja) * 2000-02-04 2001-08-10 Nec Corp 半導体装置の配線形成方法

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101133498B (zh) * 2005-01-03 2013-03-27 英特尔公司 使用高介电常数电介质层的量子阱晶体管
US7955964B2 (en) 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US8932951B2 (en) 2008-05-14 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US8552522B2 (en) 2008-05-14 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US8461654B2 (en) 2008-07-24 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer shape engineering for void-free gap-filling process
US8048752B2 (en) 2008-07-24 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer shape engineering for void-free gap-filling process
US9455344B2 (en) 2008-08-20 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit metal gate structure having tapered profile
US8735235B2 (en) 2008-08-20 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit metal gate structure and method of fabrication
CN101656205B (zh) * 2008-08-20 2013-07-24 台湾积体电路制造股份有限公司 集成电路金属栅极结构及其制造方法
CN102157381B (zh) * 2010-02-11 2015-09-16 三星电子株式会社 制造半导体装置的方法
CN102157381A (zh) * 2010-02-11 2011-08-17 三星电子株式会社 制造半导体装置的方法
CN102468174A (zh) * 2010-11-18 2012-05-23 中国科学院微电子研究所 一种半导体器件及其形成方法
CN102751189A (zh) * 2011-04-20 2012-10-24 中芯国际集成电路制造(上海)有限公司 晶体管的制备方法
CN102751189B (zh) * 2011-04-20 2015-04-01 中芯国际集成电路制造(上海)有限公司 晶体管的制备方法
CN102790008A (zh) * 2011-05-16 2012-11-21 中芯国际集成电路制造(上海)有限公司 形成接触插栓的方法
CN102437186A (zh) * 2011-08-04 2012-05-02 上海华力微电子有限公司 一种有助于消除倒u形镍硅化物的器件结构及其制备工艺
CN102437186B (zh) * 2011-08-04 2014-09-03 上海华力微电子有限公司 一种有助于消除倒u形镍硅化物的器件结构及其制备工艺
CN102569052B (zh) * 2011-11-11 2015-06-17 上海华力微电子有限公司 一种有助于消除u型镍硅化物的器件结构及其相应工艺
CN102569052A (zh) * 2011-11-11 2012-07-11 上海华力微电子有限公司 一种有助于消除u型镍硅化物的器件结构及其相应工艺
CN103137554A (zh) * 2011-11-28 2013-06-05 格罗方德半导体公司 利用牺牲栅极电极及牺牲自对准接触结构形成半导体装置的方法
CN103137554B (zh) * 2011-11-28 2016-07-06 格罗方德半导体公司 形成半导体装置的方法
CN110892523A (zh) * 2017-07-20 2020-03-17 国际商业机器公司 形成自对准触点
JP2020528215A (ja) * 2017-07-20 2020-09-17 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation 自己整合コンタクトを形成する方法およびデバイス構造体
JP7157134B2 (ja) 2017-07-20 2022-10-19 インターナショナル・ビジネス・マシーンズ・コーポレーション 自己整合コンタクトを形成する方法およびデバイス構造体
CN109390408A (zh) * 2017-08-11 2019-02-26 三星电子株式会社 半导体装置
CN109390408B (zh) * 2017-08-11 2023-12-29 三星电子株式会社 半导体装置

Also Published As

Publication number Publication date
US20010055842A1 (en) 2001-12-27
JP4903313B2 (ja) 2012-03-28
KR20010088287A (ko) 2001-09-26
TW559913B (en) 2003-11-01
JP2001291867A (ja) 2001-10-19
KR100350056B1 (ko) 2002-08-24
US6613621B2 (en) 2003-09-02
CN1177353C (zh) 2004-11-24

Similar Documents

Publication Publication Date Title
CN1177353C (zh) 在金属镶嵌栅极工艺中形成自对准接触焊盘的方法
CN1173396C (zh) 集成电路器件的形成方法及由该方法形成的集成电路器件
US7183164B2 (en) Methods of reducing floating body effect
CN1237607C (zh) 于衬底上制造集成电路的方法
JP4810504B2 (ja) 自己整合方式でリセスゲートmosトランジスタ素子を製作する方法
US5716862A (en) High performance PMOSFET using split-polysilicon CMOS process incorporating advanced stacked capacitior cells for fabricating multi-megabit DRAMS
US6432774B2 (en) Method of fabricating memory cell with trench capacitor and vertical transistor
CN1520610A (zh) 新型动态随机存取存储器存取晶体管
CN1536650A (zh) 制造半导体集成电路的方法及由此制造的半导体集成电路
CN1474436A (zh) 具有自对准节接触孔的半导体器件及其制造方法
US8187952B2 (en) Method for fabricating semiconductor device
US7265011B2 (en) Method of manufacturing a transistor
CN1577823A (zh) 半导体器件及其制造方法
US6534359B2 (en) Method of fabricating memory cell
US6355529B2 (en) Method of fabricating memory cell with vertical transistor
CN1458683A (zh) 具有增加的有效沟槽长度的半导体器件的制造方法
TWI582841B (zh) 製造電晶體閘極之方法及包含電晶體閘極之半導體裝置
JP2006245578A (ja) 半導体装置の製造方法
KR101062862B1 (ko) 측벽접합을 구비한 반도체장치 제조 방법
US20080224209A1 (en) Semiconductor device and method for fabricating the same
US7989335B2 (en) Methods of forming insulation layer patterns and methods of manufacturing semiconductor devices including insulation layer patterns
US6514816B2 (en) Method of fabricating a self-aligned shallow trench isolation
US20070022941A1 (en) Method of forming a layer and method of manufacturing a semiconductor device using the same
KR100549010B1 (ko) 채널부 홀의 일 측벽에 채널 영역을 갖는 트랜지스터의형성방법들
US20060003536A1 (en) Method for fabricating a trench capacitor with an insulation collar which is electrically connected to a substrate on one side via a buried contact, in particular for a semiconductor memory cell

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20041124