JP4903313B2 - ダマシンゲート工程で自己整合コンタクトパッド形成方法 - Google Patents

ダマシンゲート工程で自己整合コンタクトパッド形成方法 Download PDF

Info

Publication number
JP4903313B2
JP4903313B2 JP2001063643A JP2001063643A JP4903313B2 JP 4903313 B2 JP4903313 B2 JP 4903313B2 JP 2001063643 A JP2001063643 A JP 2001063643A JP 2001063643 A JP2001063643 A JP 2001063643A JP 4903313 B2 JP4903313 B2 JP 4903313B2
Authority
JP
Japan
Prior art keywords
insulating film
film
forming
groove
conductive material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001063643A
Other languages
English (en)
Other versions
JP2001291867A (ja
Inventor
亨洙 禹
圭現 李
泰榮 鄭
奇南 金
有商 黄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2001291867A publication Critical patent/JP2001291867A/ja
Application granted granted Critical
Publication of JP4903313B2 publication Critical patent/JP4903313B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は低抵抗ゲートを形成すると共に、自己整合コンタクト工程ができる半導体装置の製造方法に関し、さらにはダマシンゲート工程で自己整合コンタクト形成ができるDRAM装置製造方法に関する。
【0002】
【従来の技術】
半導体産業において、半導体製品の費用を維持又は減少させると共に素子の動作特性を向上させるために努力している。このような目的はより小さい半導体素子を作る技術によって達成され、その結果、与えられた基板でより多い半導体チップを生産でき、チップ製造費用が減少することになる。ミクロン以下の大きさを有する半導体装置製造能力は小さいチップを作るのに大きな貢献をした。
【0003】
半導体産業のチップ小型化によって、DRAM又は論理素子のトランジスタを構成するゲート電極の大きさも急激に減少し、約0.1ミクロンまで減少することに期待をかけている。しかし、このような縮小化は、伝統的なゲート電極形成工程で様々な問題を発生させている。特に、トランジスタの特性に大きな役割をするゲート絶縁膜の薄膜化及び信頼性を期待しにくい。伝統的なゲート電極形成方法は、まず、素子分離領域を形成し、チャンネル領域形成のために不純物を注入する。ゲート酸化膜及びゲート電極膜(例えば、ポリシリコン/タングステンシリサイド)を形成し、これを所定のマスクとして利用して、エッチングしてゲート電極を形成する。ゲート電極をマスクにして、低濃度ドレイン、即ち、LDD(lightly doped drain)形成のためのイオン注入を実施する。ゲート電極形成のためのエッチング工程で、プラズマ損傷、チャンネルイオン注入によるゲート酸化膜の信頼性の悪化等の問題が発生する。又、LDDイオン注入がチャンネルイオン注入に続くので、LDDイオン注入による点欠陥(point defect)等の損傷を除去するための熱処理工程で、チャンネル領域の不純物イオンが再分布される問題が発生する。又、普通に使用されるゲート電極のポリシリコン/タングステンシリサイドはミクロン以下の小さいゲートによる抵抗増加問題を解決できない。これはトランジスタの動作速度減少につながる。
【0004】
前述の伝統的なゲートパターン形成で発生される問題を解決するためにダミーゲートパターンを利用するゲート形成方法が(いわゆる、ダマシンゲート工程)が提示された。従来のダミーゲートパターンを利用したゲート形成方法及びこれによる問題点を説明するための半導体基板の一部断面図が図1及び図2に示されている。図1を参照して従来のダミーゲートパターン形成方法を説明する。図1Aを参照すると、半導体基板10の所定領域に素子分離膜12が形成される。次に、ダミーゲートパターン(図示しない)が形成される。ダミーゲートパターンをマスクとして使用して、LDDイオン注入を実施し、熱処理をしてLDD領域16を形成する。次に、ダミーゲートパターンの側壁に絶縁膜スペーサ18を形成する。
【0005】
ダミーゲートパターン及び側壁絶縁膜スペーサ18が形成された半導体基板10の全面に第1絶縁膜を形成し、ダミーゲートパターンの上部が現れる時まで平坦化エッチングして、平坦な上部を有する第1絶縁膜20を形成する。以降、ダミーゲートパターンを選択的に除去してグルーブを形成する。グルーブを通じてイオン注入を実施して、チャンネル領域(図示しない)を形成する。
【0006】
グルーブ内部及び第1絶縁膜20上にゲート電極物質を形成し、第1絶縁膜20の上部が現れる時までエッチングして、ゲート電極24を形成する。次に、ゲート電極24の両側のLDD領域16に電気的に連結されるビットライン及びストレージ電極コンタクト工程が実施される。周知のように、デザインルール(design rule)減少による工程マージンを確保するためにビットライン及びストレージ電極コンタクトを形成することにおいて、自己整合コンタクト(self-aligned contact)工程を実施する。これは酸化膜とゲート電極を完全に覆う窒化膜(窒化膜スペーサ及びキャッピング窒化膜)の間の高いエッチング選択比を利用して、酸化膜を窒化膜に対して選択的にエッチングしてコンタクトオープニングを形成する工程として、不整合(misalignment)が発生しても、窒化膜がゲート電極を保護するので、ゲート電極がオープニングによって露出される恐れはない。
【0007】
しかし、従来のダミーゲート工程で、自己整合コンタクト工程を適用する場合、深刻な問題が発生する。自己整合コンタクト工程を進行するために、まず、ゲート電極24及び第1絶縁膜20上に第2絶縁膜26を形成する。以降、フォト-エッチング工程を実施して、第2絶縁膜26及び第1絶縁膜20をスペーサ窒化膜18に対して選択的にエッチングして、半導体基板の所定部分を露出させるコンタクトオープニング28a、28bを形成する。しかし、デザインルールの減少に従う工程マージン減少によってフォト-エッチング工程で不整合が発生すると、図1A及び図1Bに示されたように、ゲート電極24の上部端又はゲート電極上部面が露出される。又、エッチング条件が完璧ではない場合、露出されたゲート電極が一部エッチングされることもできる。従って、後続工程として、導電物質を蒸着し、パターニングして、コンタクトパッドを形成する場合、ゲート電極及びコンタクトパッドが電気的に連結される問題点が発生する。
【0008】
これはゲート電極上部がキャッピング膜によって保護されていないためである。従って、自己整合コンタクト工程を実施するためには、ゲート電極24上にゲートキャッピング膜が形成されなければならない。しかし、ゲート電極24上だけに選択的に自己整合された窒化膜パターンを形成しにくいし、図2に示されたように、ゲート電極24だけでなく第1絶縁膜20上にもキャッピング膜25が形成される。このような場合、コンタクト工程で、酸化膜だけでなく窒化膜もエッチングされなければならないので、自己整合コンタクト工程が不可能になる。
【0009】
従って、前述した従来のダミーゲートパターンの長所を維持しながら、自己整合コンタクト工程を適用できる新たな半導体製造方法が要求されている。
【0010】
【発明が解決しようとする課題】
本発明は、ダミーゲート工程に信頼性がある自己整合コンタクト工程を適用できる半導体装置の製造方法を提供することを目的とする。
【0011】
【課題を解決するための手段】
本発明の特徴は、ダミーゲートパターンを除去してグルーブを形成した後、グルーブの一定部分だけを充填するように、低抵抗ゲート電極を形成し、残り部分を窒化膜で充填してゲートキャッピング膜を形成して、低抵抗ゲート電極の上部を保護することである。これによって、ダミーゲート工程で信頼性がある自己整合コンタクト工程を実施できる。
【0012】
前述の本発明の目的を達成するための半導体装置の製造方法は、側壁にスペーサを有するダミーゲートパターンを半導体基板上に形成する段階と、ダミーゲートパタンと同一な高さの、上部が平坦な第1絶縁膜を結果物上に形成する段階と、ダミーゲートパターンを側壁スペーサ及び第1絶縁膜に対して選択的に除去して半導体基板の一部を露出させるグルーブを形成する段階と、露出された半導体基板上にゲート絶縁膜を形成する段階と、低抵抗導電物質でグルーブの一部を充填してゲート電極を形成する段階と、グルーブの残り部分を充填し、第1絶縁膜に対してエッチング選択比を有するゲートキャッピング膜を形成する段階とを含む。
【0013】
前記の方法において、低抵抗導電物質でグルーブの一部を充填してゲート電極を形成する段階は、グルーブ内部及び第1絶縁膜上に低抵抗導電物質を形成する段階と、低抵抗導電物質が第1絶縁膜上部表面からグルーブ内へ一定深さリセスされるように、低抵抗導電物質をエッチングする段階を含む。望ましくは、低抵抗導電物質はチタン窒化膜/タングステンの二重膜、ポリシリコン/タングステンシリサイドの二重膜又はポリシリコンで形成される。より望ましくは、チタン窒化膜/タングステンの二重膜で形成される。又、高温で安定的な金属物質も使用され得る。
【0014】
他の方法として、低抵抗導電物質でグルーブの一部を充填してゲート電極を形成する段階は、グルーブ内部及び第1絶縁膜上にグルーブの一部を充填するコンフォーマルな低抵抗導電物質を形成する段階と、コンフォーマルな低抵抗導電物質上にグルーブを完全に充填するようにエッチング阻止膜を形成する段階と、第1絶縁膜の上部が現れる時までエッチング阻止膜及び低抵抗導電物質をエッチングする段階と、グルーブ内の残存するエッチング阻止膜を除去する段階とを含む。
【0015】
他の方法として、低抵抗導電物質でグルーブの一部を充填してゲート電極を形成する段階は、グルーブ内部及び第1絶縁膜上にグルーブを完全に充填するように低抵抗導電物質を形成する段階と、第1絶縁膜の上部が現れる時まで低抵抗導電物質を平坦化エッチングする段階と、低抵抗導電物質をエッチバックして第1絶縁膜からグルーブ内へ一定深さリセスされるようにする段階とを含む。
【0016】
又、側壁にスペーサを有するダミーゲートパターンを半導体基板上に形成する段階は、半導体基板上に犠牲絶縁膜を形成する段階と、犠牲絶縁膜上にダミーゲートパターンを形成する段階と、ダミーゲート物質膜をパターニングして犠牲絶縁膜上にダミーゲートパターンを形成する段階と、犠牲絶縁膜及びダミーゲートパターン上にスペーサを形成する段階と、スペーサ膜を非等方性エッチングする段階とを含む。
【0017】
本発明の一実施形態において、ダミーゲートパターンは側壁スペーサ及び第1絶縁膜に対してエッチング選択比がある物質で形成される。例えば、ポリシリコン、非晶質シリコンで等で形成され得る。良好なダミーゲートプロファイルを実現できるように、容易にエッチングされる物質のポリシリコンで形成されるのが望ましい。又、ダミーゲートパターンは上部幅が下部幅に比べて、より大きくなるように斜めにパターニングできる。その結果、グルーブの上部開口部が下部底に比べて相対的に広くなるので低抵抗導電物質の段差塗布性を向上させる。なお、ダミーゲートパターンが斜めにパターニングされなくても、後続工程としてグルーブの内部側壁にスペーサを形成することによって、グルーブの上部開口は下部底に比べて相対的に広くなる。このような場合、グルーブの内部側壁に形成されるスペーサはダミーゲートパターンのスペーサと同一な物質で形成されるのが望ましい。
【0018】
望ましくは、ゲートキャッピング膜の形成の後、第1絶縁膜及びゲートキャッピング膜上に側壁スペーサ及びゲートキャッピング膜に対してエッチング選択比を有する第2絶縁膜を形成する段階と、第2絶縁膜と第1絶縁膜の所定部分をゲートキャッピング膜及び側壁スペーサに対して選択的にエッチングしてオープニングを形成する段階と、オープニング下部の犠牲絶縁膜を除去して半導体基板を露出させる段階と、オープニングを充填するように第2絶縁膜上に導電膜を形成する段階と、ゲートキャッピング膜の上部が現れる時まで導電膜及び第2絶縁膜をエッチングする段階とを含む。望ましくは、導電膜は低抵抗導電物質と同一な物質で形成される。
【0019】
側壁スペーサ及びゲートキャッピング膜は第1及び第2絶縁膜に対して各々エッチング選択比を有する膜質で形成され、望ましくは、第1及び第2絶縁膜はシリコン酸化膜で、側壁スペーサ及びゲートキャッピング膜はシリコン窒化膜で形成される。
【0020】
望ましくは、ダミーゲートパターンの形成の後、ダミーゲートパターンをマスクとして使用して第1イオン注入及び熱処理を実施して、ダミーゲートパターンの両側の半導体基板にLDD領域を形成する段階を含む。
【0021】
又、ダミーゲートパターンを側壁スペーサ及び第1絶縁膜に対して選択的に除去して半導体基板を露出させるグルーブを形成する段階は、ダミーゲートパターンを側壁スペーサ、第1絶縁膜及び犠牲絶縁膜に対して選択的に除去する段階と、露出された犠牲絶縁膜を通じて第2イオン注入及び熱処理を実施して、ダミーゲートパターン下部の半導体基板に自己整合チャンネル領域を形成する段階と、露出された犠牲絶縁膜を除去して半導体基板を露出させる段階とを含む。
【0022】
前述の方法において、グルーブを形成した後、側壁スペーサを除去してグルーブの幅を広め、広まれたグルーブの側壁は垂直プロファイルを有する段階と広まれたグルーブの側壁にグルーブの内部に向かうリバース側壁スペーサを形成する段階を含む。この時、望ましくは、側壁スペーサは第1絶縁膜と同一な物質で形成され、リバース側壁スペーサは第1絶縁膜に対してエッチング選択比を有する物質で形成される。このような場合、スペーサが垂直な側壁からグルーブ内部へ形成されるので、ゲート電極は外部の枠がボックス型の保護膜(側壁スペーサ及びゲートキャッピング膜)を有するようになる。
【0023】
前述の方法によると、低抵抗ゲート電極を形成すると共に、信頼性がある自己整合コンタクトエッチングを実施できる。
【0024】
本発明の他の特徴によると、前述の目的を達成するための望ましい工程構成による半導体装置の製造方法は、半導体基板上に側壁スペーサを有する第1ダミーゲートパターン及び第2ダミーゲートパターンを形成し、第2ダミーゲートパターンが第1ダミーゲートパターンに比べて大幅を有するように形成する段階と、半導体基板上に各ダミーゲートパターンを実質的に同一な高さの第1絶縁膜を形成する段階と、第1及び第2ダミーゲートパターンを除去して半導体基板を露出させる第1及び第2グルーブを各々形成する段階と、各グルーブによって露出された半導体基板上に各々第1及び第2ゲート絶縁膜を形成する段階と、各グルーブを含んで第1絶縁膜上に低抵抗導電物質を形成し、第1グルーブは完全に充填され、第2グルーブは一部だけが充填されて、リセス領域が形成される段階と、第2グルーブのリセス領域を完全に充填するように低抵抗導電物質膜上にエッチング阻止膜を形成する段階と、低抵抗導電物質の上部が現れる時までエッチング阻止膜をエッチングし、第2グルーブのリセス領域上にエッチング阻止膜の一部が残存する段階と、エッチング阻止膜残留物質をマスクとして使用して第1絶縁膜上部表面から第1グルーブ内へリセスされるように低抵抗導電物質をエッチングする段階と、第2グルーブに残存するエッチング阻止膜を除去して第1絶縁膜からリセスされた第1及び第2ゲート電極を形成する段階と、第1及び第2グルーブの残り部分を絶縁物質で充填して各々第1及び第2ゲートキャッピング膜を形成する段階とを含む。
【0025】
一実施形態において、エッチング阻止膜はフォトレジスタ膜又はスピン-オン-グラス膜で形成される。又、ゲートキャッピング膜及び側壁スペーサはシリコン窒化膜で形成され、第1及び第2絶縁膜はシリコン酸化膜で形成される。
【0026】
望ましい実施形態において、ゲートキャッピング膜を形成した後、第1絶縁膜及びゲートキャッピング膜上に第2絶縁膜を形成する段階と、第2絶縁膜及び第1絶縁膜の所定部分を側壁スペーサ及びゲートキャッピング膜に対して選択的にエッチングして、第1ゲート電極両側の半導体基板を露出させる自己整合コンタクトオープニングを形成する段階と、自己整合コンタクトオープニング及び第2絶縁膜上に低抵抗物質と同一な物質を形成する段階と、ゲートキャッピング膜が露出される時まで平坦化エッチングを実施して自己整合コンタクトパッドを形成する段階とを含む。
【0027】
望ましい実施形態において、各ダミーゲートパターンは犠牲絶縁膜及びダミーゲート物質膜が順次に積層されて形成され、各ダミーゲートパターンの形成の後、第1イオン注入及び熱処理を実施して、各ダミーゲートパターン両側の半導体基板にLDD領域を形成する段階を含む。又、第1及び第2ダミーゲートパターンを除去して半導体基板を露出させる第1及び第2グルーブを形成する段階は、ダミーゲートパターンを除去して犠牲絶縁膜を露出させる段階と、露出させた犠牲絶縁膜を通じて第2不純物イオン注入及び熱処理を実施して、各グルーブ下部の半導体基板に自己整合チャンネル領域を形成する段階と、犠牲絶縁膜を除去する段階とを含む。
【0028】
前述の方法において、第1及び第2グルーブを形成した後、側壁スペーサを除去して各グルーブの幅を広め、広まれたグルーブの側壁は垂直プロファイルを有する段階と、広まれたグルーブの側壁にグルーブ内部に向かうリバース側壁スペーサを各々形成する段階を含む。この時、望ましくは、側壁スペーサは第1絶縁膜と同一な物質で形成され、リバース側壁スペーサは第1絶縁膜に対してエッチング選択比を有する物質で形成される。
【0029】
【発明の実施の形態】
以下、前述の望ましい工程構成による本発明の実施形態を添付した図面を参照して詳細に説明する。
【0030】
ただし、半導体工程で普通に使用される写真工程(photolithography)及びエッチング(etching)工程、化学機械的研磨(chemical mechanical polishing)工程、エッチバック(etchback)工程等に関する詳細な説明は省略する。又、添付した図面で蒸着される膜質の厚さ及び形成される領域が、説明の明確化及び図の簡略化のために多少誇張に表示され得る。又、半導体基板と低濃度ドレイン及びソース/ドレイン形成のための不純物イオンは、互いに反対型の導電型である。例えば、半導体基板がP型の場合、注入される不純物はN型で、半導体基板がN型の場合、注入される不純物はP型である。本実施形態においてはP型の半導体基板が使用された。
【0031】
図3乃至図12は、本発明による低抵抗ゲート及び自己整合コンタクト工程が適用された半導体装置の製造方法を説明する工程手順による半導体基板の断面図である。半導体製造工程で同時に多数のゲートパターンが形成されるが、添付した図3乃至図12は、本発明の明確な理解をためにただ1つの電極だけを示した。
【0032】
図3Aは、本発明の一実施形態によって既に多数の工程が実施された半導体基板の断面を示す。詳細に説明すると、まず、半導体基板100が用意される。素子分離工程を実施して、半導体基板100の所定領域に素子分離領域120を形成し、活性領域を限定する。素子分離領域120は浅いトレンチ隔離工程(shallow trench isolation)、局部的シリコン酸化工程(local oxidation of silicon)又はこの分野で使用される他の方法によって形成され得る。次に、犠牲絶縁膜130が素子分離工程が実施された半導体基板100上に形成される。犠牲絶縁膜130はシリコン酸化膜として、減圧CVD法(low pressure chemical vapor deposition:LPCVD)、プラズマCVD法(PECVD:plasma enhaced CVD)等で形成され得り、望ましくは、熱酸化を実施して約100Å乃至300Åの厚さを有するように形成される。
【0033】
次に、ダミーゲートパターン物質膜が犠牲絶縁膜130上に形成され、パターニングされて、ダミーゲートパターン140が形成される。ダミーゲートパターン140の形成の後、低濃度ドレイン(lightly doped drain:LDD)を形成するための不純物イオン注入工程をダミーゲートパターン140をイオン注入マスクとして使用して実施する。望ましくは、リン(phosphorous)が使用され、約20乃至30keVのエネルギー範囲で、約1×1013atom/ cm2の注入量を有するように実施される。以降、注入された不純物を活性化させ、半導体基板の点欠陥を除去するために熱処理が実施されてLDD領域160が形成される。次に、ダミーゲートパターン140の側壁に絶縁膜スペーサ180が形成される。
【0034】
より詳細には、ダミーゲート物質膜は絶縁膜側壁スペーサ180及び後続工程によって形成される第1絶縁膜及び第2絶縁膜(図4の参照番号200及び図9の参照番号260)に対して優れたエッチング選択比を有する物質で形成される。又、要求されるエッチングプロファイルを得る物質が望ましい。例えば、側壁スペーサ180がシリコン窒化膜で形成され、第1絶縁膜及び第2絶縁膜がシリコン酸化膜で形成される場合、ダミーゲート物質膜はポリシリコン膜、非晶質シリコン等で形成され得る。望ましくは、ダミーゲート物質膜はポリシリコンで形成され、約4000Å乃至5000Åの厚さを有するように減圧CVD法を使用して形成される。ダミーゲート物質膜のエッチングは下部の犠牲絶縁膜130に対して高エッチング選択比を有する条件で実施される。この時、エッチング条件を調節してダミーゲートパターン140の上部大きさが下部大きさに比べて大きく形成されるように斜めなプロファイルを有するようにできる。これによって、後続工程によって形成されるグルーブ(図5の参照番号220)の上部開口部が下部開口部より相対的に大きくなるので、低抵抗導電物質の形成の時、優れた段差塗布性を実現できる。又、ダミーゲート物質膜をエッチングした後、露出された犠牲絶縁膜130を図3Bに示されたようにエッチングすることもできる。
【0035】
絶縁膜側壁スペーサ180は、望ましくは、シリコン窒化膜で形成される。まず、シリコン窒化膜がダミーゲートパターン140及び犠牲絶縁膜130上にコンフォーマルに形成される。望ましくは、シリコン窒化膜はプラズマCVD法又は減圧CVD法等を利用して約500Å乃至600Åの厚さを有するように形成される。形成されたシリコン窒化膜に対して非等方性エッチング(異方性エッチング)して、ダミーゲートパターン140の側壁だけを残し、全部除去して絶縁膜側壁スペーサ180を形成する。
【0036】
図4を参照すると、絶縁膜側壁スペーサ180を有するダミーゲートパターン140及び犠牲絶縁膜130上に第1絶縁膜が形成される。第1絶縁膜は不純物でドーピングされたり、ドーピングされないシリコン酸化膜で形成されたりする。望ましくは、常圧CVD法(atmospheric pressure CVD)、プラズマCVD法等を使用して約4000Å乃至5000Åの厚さを有するように形成される。以降、平坦化工程が実施され、ダミーゲートパターン140上部で中断されて、実質的にダミーゲートパターン140と同一な高さの平坦な上部表面を有する第1絶縁膜200が形成される。例えば、化学的機械的研磨工程又はエッチバック工程によって実施され得る。
【0037】
図5を参照すると、ダミーゲートパターン140が除去され、グルーブ220が形成される。ダミーゲートパターン140の除去は、第1絶縁膜200及び側壁スペーサ180に対して高エッチング選択比を有する条件で実施される。乾式エッチング及び湿式エッチング全部を使用できる。乾式エッチングの場合、等方性エッチング特性を有するように低電力高圧の条件で実施し、ソースガスとしてSF6、O2等のガスを使用する。湿式エッチンによって除去する場合、HNO3、HF、CH3COOH及びH2Oの混合溶液を使用する。又、乾式エッチング及び湿式エッチングを混用(望ましくは、乾式エッチングの後湿式エッチング)することのできる。
【0038】
グルーブ220は、後続工程で低抵抗導電物質で充填され、この時、充填される導電物質の段差塗布性を向上させるために、グルーブ220の内部側壁に絶縁膜スペーサ(図示しない)が形成されることもできる。その結果、グルーブの上部開口部が下部底より相対的に大きくなるので、低抵抗導電物質の段差塗布性が向上される。
【0039】
グルーブ220によって露出された犠牲絶縁膜130を通じてイオン注入及び熱処理を実施して、チャンネル領域225を形成する。この時、チャンネル領域225はダミーゲートパターン下部の半導体基板、即ち、既に形成されたLDD領域160の間に自己整合的に形成される。従って、従来の半導体基板全面に実施されるチャンネル形成イオン注入と比較する時、接合キャパシタンス及び漏洩電流を減少させ得る。望ましくは、ホウ素(Boron:B)又はBF2を使用して、約20乃至30keVのエネルギー範囲で、約1×1013atom/cm2の注入量を有するように実施する。次に、犠牲絶縁膜130をフッ酸バッファ溶液(buffered hydrofluoric acid)を使用して除去して、チャンネル領域225が形成された半導体基板を露出させる。
【0040】
図6Aを参照すると、グルーブ220によって露出された半導体基板100上にゲート酸化膜130aが形成される。ゲート酸化膜130aは、前述のように、ダミーゲートパターン形成及びイオン注入及び熱処理の後、形成されるので信頼性があるゲート酸化膜を得る。ゲート酸化膜130aはシリコン酸化膜だけでなく誘電率が相対的に大きいシリコン酸化窒化膜(silicon oxynitride)又はタンタル酸化膜(Ta2O5)等で形成できる。このような場合、シリコン酸化膜に比べて厚く形成できて、工程の制御が容易である。シリコン酸化膜で形成する場合、熱酸化工程を実施して、約40Å乃至50Åの厚さで形成する。タンタル酸化膜の場合は約100Å乃至150Åの厚さで、シリコン酸化窒化膜の場合は約60Å乃至70Åの厚さで形成する。
【0041】
ゲート酸化膜130aの形成の後、低抵抗導電物質230をグルーブを完全に充填するように第1絶縁膜200上に形成する。低抵抗導電物質230は、望ましくは、金属物質で形成される。このような金属物質は、蒸着の時、ゲート酸化膜130aの損傷が少ないし、接着が良好であり、ボイドなしにグルーブを充填する高段差塗布性等の特性を有する物質が望ましい。例えば、チタン窒化膜/タングステン膜で形成される。詳細には、チタン窒化膜は原子膜質蒸着(atomic layer deposition:ALD)又は化学気相成長(CVD: Chemical Vapor Deposition)を使用して約200Åくらいの厚さを有するように形成される。タングステン膜は化学気相成長(CVD法)を使用して約2000Åの厚さを有するように形成される。なお、シリサイド膜又は高温で安定的な金属物質が使用されることもできる。
【0042】
又は、低抵抗導電物質230はポリシリコン、ポリシリコン/タングステンシリサイド等で形成され得る。
【0043】
図7に示されたように、エッチバック工程等を実施して第1絶縁膜200上の低抵抗導電物質230を完全に除去し、グルーブ220内へ一定深さリセス(参照番号245)されるようにして、低抵抗ゲート電極240を形成する。グルーブ内へリセスされる深さは、ゲートの面抵抗、後続自己整合コンタクトエッチングのためのゲートキャッピング膜の厚さ等を考慮して決定される。望ましくは、約2000Åくらいである。
【0044】
他の方法として、グルーブ220を低抵抗導電物質230で完全に充填した後、図6Bに示されたように、第1絶縁膜200上部が現れる時まで低抵抗導電物質230を平坦化エッチングする。続けて、エッチバック工程を実施してリセスされた低抵抗ゲート電極を形成することもできる。
【0045】
リセス部分245を充填するゲートキャッピング膜250は、図8に示されたように形成される。ゲートキャッピング膜250は低抵抗ゲート電極240の上部を保護するために、第1絶縁膜200及び後続工程によって形成される第2絶縁膜(図9の参照番号260)に対してエッチング選択比がある物質で形成される。望ましくは、側壁スペーサ180と同一な物質のシリコン窒化膜で形成される。その結果、低抵抗ゲート電極240の側壁及び上部が各々窒化膜によって保護され、後続自己整合コンタクト工程が可能になる。
【0046】
図9乃至図12は、自己整合コンタクト形成工程である。まず、図9を参照すると、第1絶縁膜200及びゲートキャッピング膜250上に第2絶縁膜260が形成される。第2絶縁膜260は側壁スペーサ180及びゲートキャッピング膜250に対してエッチング選択比がある物質で形成される。望ましくは、第2絶縁膜260はプラズマCVD法によるシリコン酸化膜で形成され、約1500Å乃至2000Åの厚さを有する。次に、写真工程及びエッチング工程によって第2絶縁膜260、第1絶縁膜200、そして、犠牲酸化膜130を、側壁スペーサ180及びゲートキャッピング膜250に対して高エッチング選択比を有する条件でエッチングして、図10に示されたように、自己整合コンタクトオープニング280を形成する。コンタクトオープニング280はLDD領域160を露出させる。
【0047】
コンタクトオープニング280を完全に充填するように、第2絶縁膜260上に導電膜290を図11に示されたように形成する。望ましくは、導電膜290は低抵抗ゲート電極240と同一な物質で形成される。例えば、チタン窒化膜/タングステン膜で形成される。
【0048】
次に、ゲートキャッピング膜250の上部が現れる時まで平坦化工程、例えば、化学機械的研磨工程又はエッチバック工程を実施して、図12に示されたように、電気的に分離されたコンタクトパッド300を形成する。
【0049】
後続工程として、普通のDRAM工程のビットライン工程、キャパシタ工程、配線工程、パッシベーション工程等が実施される。
【0050】
前述の方法において、ダミーゲートパターンが大幅の場合、図7のリセスされた低抵抗ゲート電極240は次の方法によって形成され得る。即ち、図5で、グルーブ220を形成した後、低抵抗導電物質230を第1絶縁膜200上に形成すると、図13に示されたように、グルーブの幅が広いので、第1絶縁膜200及びグルーブの枠にそってコンフォーマルに一部だけを充填するようになる。従って、この時、前述の方法のように、すぐエッチバックを実施すると、グルーブ内部の低抵抗物質が全部除去され得る。これを防止するために、コンフォーマルな低抵抗導電物質上にエッチング阻止膜235として、リフロー可能な膜質、例えば、フォトレジスタ膜又はスピン-オン-グラス膜を形成する。このような膜質は低温で流動性があって、平坦化に有利である。この時、エッチング阻止膜235は第1絶縁膜200上のコンフォーマルな低抵抗導電物質上には相対的に薄く形成され、グルーブ内に形成された低抵抗導電物質上には相対的に厚く形成される。
【0051】
以降、エッチバック工程を実施して、第1絶縁膜200上部が現れる時までエッチング阻止膜235及び低抵抗導電物質230をエッチングする。この時、第1絶縁膜200上の低抵抗導電物質及びエッチング阻止膜は完全に除去されるが、グルーブ内にはエッチング阻止膜が厚く形成されているので、一部が残存して(参照番号235a)、下部の低抵抗導電物質を保護するようになる。グルーブ内部に形成された低抵抗導電物質上に残存するエッチング阻止膜235aが選択的に除去され、リセスされたゲート電極が形成される。
【0052】
図24乃至図28は、他の方法による本発明の低抵抗ゲート及び自己整合コンタクト工程が適用された半導体装置の製造方法を説明する工程手順に従う半導体基板の断面図である。半導体製造工程では、同時に多数のゲートパターンが形成されるが、図3乃至図12のように、本発明の明確な理解をために、ただ1つのゲート電極だけを示す。
【0053】
本方法を前述の方法と比較すると、側壁スペーサが除去され、グルーブ内部へ側壁スペーサ(リバース側壁スペーサ)が形成されることが相違する。前述の方法で説明した部分については説明を省略する。又、図24乃至図28において、図3乃至図12に示された構成要素と同一な機能をする構成要素は同一な参照番号で示す。
図5に示されたように、ダミーゲートパターンを選択的に除去してグルーブ220を形成する。しかし、本方法において、側壁スペーサ180aは、図5の側壁スペーサ180とは異なる物質で形成される。即ち、第1絶縁膜200に対してエッチング選択比を有しない物質、望ましくは、第1絶縁膜200と同一な物質のシリコン酸化膜で形成される。図5においては、シリコン酸化膜で形成された。
【0054】
次に、側壁スペーサ180aが除去される。この時、同一な酸化膜系列の第1絶縁膜200の一部も共に除去され、グルーブ220の幅が広め220a、側壁プロファイルは、図25に示されたように、ほぼ垂直である。
【0055】
次に、図26に示されたように、広まれたグルーブ220aの側壁にグルーブ内へ側壁スペーサ180bが形成される。これは、図5の側壁スペーサ180を180゜回転した形状であり、以降及び本明細書全体にかけて、リバース側壁スペーサ180bと呼ぶ。リバース側壁スペーサ180bは第1絶縁膜200に対してエッチング選択比を有する物質、例えば、シリコン窒化膜で形成される。続けて、犠牲絶縁膜130を通じて第2イオン注入工程が実施されて、チャンネル領域225が形成される。以降、露出された犠牲絶縁膜130が除去され、ゲート酸化膜130aが形成される。
【0056】
次に、グルーブ220を完全に充填するように、低抵抗導電物質を第1絶縁膜200上に形成する。第1絶縁膜200上部が現れる時まで低抵抗導電物質に対して平坦化工程を実施する。続けて、エッチバック工程を実施して、第1絶縁膜200から一定深さリセスされた低抵抗ゲート電極240を、図27に示されたように形成する。他の方法として、図3乃至図12で説明した方法のように、低抵抗導電物質を形成した後、平坦化工程なしにエッチバック工程によってリセスされた低抵抗ゲート電極を形成することもできる。
【0057】
次に、図28を参照すると、リセス部分245を充填するゲートキャッピング膜250が形成される。後続工程は、自己整合コンタクト工程として、図9乃至図12を参照して、前述の方法と同一に実施する。
【0058】
本方法の場合、リバース側壁スペーサ180bはプロファイルが垂直である側壁からグルーブ220内部へ形成されているので、ゲートキャッピング膜250と共にボックスの形状をなし、これによって、低抵抗ゲート電極240を完全に囲んで保護する。その結果、低抵抗ゲート電極240の上部端部分が強化されて、後続自己整合コンタクト工程の不整合に対する安全マージンが増加する。
【0059】
これまでは、本発明の明確な理解のためにただ1つのゲート電極について説明した。普通のDRAMの場合、セル領域とコア/周辺領域に形成されるゲートは相違した大きさを有する。以下、セル領域とコア/周辺領域を同時に考慮して低抵抗ゲート及び自己整合コンタクトが適用されたDRAM製造方法について図15乃至図23を参照して説明する。
【0060】
図3乃至図14に示された構造要素と同一な機能をする構造要素に対しては同一な参照番号で示し、これについての説明は省略する。
【0061】
図15を参照すると、まず、半導体基板100の所定領域に素子隔離領域120を形成し、活性領域を各々セル領域及びコア/周辺領域に限定する。前述の方法によって、犠牲酸化膜130、ダミーゲートパターン140a、140b、LDD領域160、側壁スペーサ180が形成される。コア/周辺領域のダミーゲートパターン140bはセル領域のダミーゲートパターン140aに比べて大きく形成される。側壁スペーサ180の形成の後、コア/周辺領域にソース/ドレイン形成のためのイオン注入を実施する。ダミーゲートパターン140及び側壁スペーサ180をイオン注入マスクとして使用する。例えば、NMOSトランジスタの場合、リンが使用され、約20乃至30keVのエネルギー範囲で、約1×1014乃至5×1014atom/cm2の注入量を有するように実施されて、ソース/ドレイン領域160aが形成される。
【0062】
図16を参照すると、平坦な上部表面を有する第1絶縁膜200が形成される。次に、図17に示されたようにダミーゲートパターンが選択的に除去されてグルーブ220a、220bが形成される。チャンネル形成イオン注入及び熱処理が実施されて、チャンネル領域225が自己整合的に形成される。以降、グルーブ220a、220bによって露出された犠牲絶縁膜130が除去され、ゲート酸化膜130aが形成される。第1絶縁膜200上に低抵抗導電物質230が、図18に示されたように形成される。この時、セル領域のグルーブ220aはコア/周辺領域のグルーブ220bより相対的に小さいので、低抵抗導電物質で完全に充填される。しかし、コア/周辺領域のグルーブ220bは一部だけが充填され、これによって、凹んだ部分231が生じる。これは形成される低抵抗導電物質の厚さ及びゲート幅等によって決定される。
【0063】
図19に示されたように、エッチング阻止膜235が低抵抗導電物質230上に形成される。エッチング阻止膜235はフォトレジスタ膜又はスピン-オン-グラス膜等のリフロー可能な膜質で形成する。この時、エッチング阻止膜235はセル領域上部には薄く形成され、コア/周辺領域のグルーブ上には凹んだ部分231を充填し、厚く形成される。
【0064】
次に、セル領域にリセスゲートパターンを形成するためのエッチング工程が実施される。まず、低抵抗導電物質230が現れる時までエッチング阻止膜をエッチングする(図20参照)。この時、コア/周辺領域の凹んだ部分上にはエッチング阻止膜の一部が残るようになる235a。続けて、セル領域に露出された低抵抗導電物質をエッチングして、リセスされた低抵抗ゲート電極240を形成する。この時、図21に示されたように、コア/周辺領域の低抵抗導電物質上にはエッチング阻止膜残留物235aがあって、下部の低抵抗導電物質240を保護する。以降、選択工程によってコア/周辺領域からエッチング阻止膜残留物235aが除去される。エッチング阻止膜残留物235aは除去されるのが望ましい。
【0065】
図22を参照すると、リセスされた低抵抗ゲート電極240上にはゲートキャッピング膜250が形成される。続けて、第2絶縁膜260がゲートキャッピング膜250及び第1絶縁膜200上に形成される。自己整合コンタクト工程が実施されて、セル領域に自己整合コンタクトオープニング280が、図23に示されたように形成される。後続工程によって、導電物質を形成し、平坦化工程を実施して、図12のように、電気的に分離されたコンタクトパッドをセル領域に形成する。
【0066】
本発明による低抵抗ゲート及び自己整合コンタクトが適用されたもう一つのDRAM製造方法について図29乃至図33を参照して説明する。図3乃至図28に示された構成要素と同一な機能をする構成要素に対しては同一な参照番号で示し、説明は省略する。図24乃至図26で説明した方法と同一な方法によって、グルーブ220a、220b及びグルーブ220a、220bの側壁にリバース側壁スペーサ180bが、図29に示されたように形成される。第2イオン注入工程を実施してチャンネル領域225を形成し、犠牲酸化膜が除去された後、ゲート酸化膜130aが、図30に示されたように形成される。図15乃至図23を参照して説明した実施形態とは違って、本実施形態においては、グルーブ220a、220bを完全に充填するように低抵抗導電物質230が結果物上に形成される。
【0067】
続けて、図31に示されたように、第1絶縁膜200上部が現れる時まで低抵抗導電物質230が平坦化エッチングされる。エッチバック工程が平坦化された低抵抗導電物質230aに対して実施されて、図32に示されたように、第1絶縁膜220から一定深さリセスされた低抵抗ゲート電極240が形成される。
【0068】
次に、図33に示されたように、リセス部分を充填するキャッピング絶縁膜250が形成される。この時、低抵抗ゲート電極240はゲートキャッピング膜250及びスペーサ180bによって完全に囲まれ、外部枠はボックス型である。これによって、低抵抗ゲート電極240の上部端部分は強化され、後続自己整合コンタクト工程の不整合に対する安全マージンが増加するようになる。後続工程によってセル領域に自己整合コンタクト工程が実施されてコンタクトパッドが形成される。
【0069】
望ましい実施形態に基づいて説明されたが、本発明の範囲はこれだけに限られない。むしろ、様々な変形及び類似した配列も含む。従って、本発明の請求範囲の範囲及び思想は、様々な変形及び類似した配列を含むように幅広く解釈しなければならない。
【0070】
【発明の効果】
前述の本発明によると、ダミーゲート工程に自己整合コンタクト工程を適用できる。従って、ダミーゲート工程の長所及び自己整合コンタクト工程の長所を同時に満足させ得る。
【0071】
即ち、ダミーゲート工程によって、ゲート電極発生の時に発生するプラズマ損傷を無くし、金属ゲート電極を形成できて、高速(high speed)を実現できる効果がある。
【0072】
LDD形成の後、ゲート電極が形成されるのでゲート腐食を最小化でき、LDD形成の後、自己整合的にチャンネル領域が形成されるので接合キャパシタンス及び接合漏洩電流を減少させ得り、チャンネル領域のドーパント(dopant)がLDD形成熱処理によって再分布されるのを防止できる効果がある。
【0073】
前記のような効果を満足させながら、自己整合コンタクト工程を実施でき、工程マージンを増加させ得る。
【図面の簡単な説明】
【図1】 従来のダミーゲート形成方法による問題点を説明するための半導体基板の断面図である。
【図2】 従来のダミーゲート形成方法による問題点を説明するための半導体基板の断面図である。
【図3】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図4】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図5】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図6】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図7】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図8】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図9】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図10】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図11】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図12】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図13】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図14】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図15】 本発明の一実施形態による半導体装置の製造方法を説明するための工程手順による半導体基板の断面図である。
【図16】 本発明の一実施形態による半導体装置の製造方法を説明するための工程手順による半導体基板の断面図である。
【図17】 本発明の一実施形態による半導体装置の製造方法を説明するための工程手順による半導体基板の断面図である。
【図18】 本発明の一実施形態による半導体装置の製造方法を説明するための工程手順による半導体基板の断面図である。
【図19】 本発明の一実施形態による半導体装置の製造方法を説明するための工程手順による半導体基板の断面図である。
【図20】 本発明の一実施形態による半導体装置の製造方法を説明するための工程手順による半導体基板の断面図である。
【図21】 本発明の一実施形態による半導体装置の製造方法を説明するための工程手順による半導体基板の断面図である。
【図22】 本発明の一実施形態による半導体装置の製造方法を説明するための工程手順による半導体基板の断面図である。
【図23】 本発明の一実施形態による半導体装置の製造方法を説明するための工程手順による半導体基板の断面図である。
【図24】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図25】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図26】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図27】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図28】 本発明による低抵抗ゲート形成方法を説明するための半導体基板の断面図である。
【図29】 本発明の他の実施形態による半導体装置の製造方法を説明するための工程手順による半導体基板の断面図である。
【図30】 本発明の他の実施形態による半導体装置の製造方法を説明するための工程手順による半導体基板の断面図である。
【図31】 本発明の他の実施形態による半導体装置の製造方法を説明するための工程手順による半導体基板の断面図である。
【図32】 本発明の他の実施形態による半導体装置の製造方法を説明するための工程手順による半導体基板の断面図である。
【図33】 本発明の他の実施形態による半導体装置の製造方法を説明するための工程手順による半導体基板の断面図である。
【符号の説明】
100 半導体基板
120 素子分離領域
130 犠牲酸化膜
130a ゲート酸化膜
140 ダミーゲート
160,160a LDD領域、ソース/ドレイン領域
180,180a,180b 側壁スペーサ
200 第1及び第2絶縁膜
220 グルーブ
225 チャンネル領域
240 低抵抗ゲート電極
250 キャッピング絶縁膜
260 第1及び第2絶縁膜
280 自己整合コンタクトオープニング
300 自己整合コンタクトパッド

Claims (13)

  1. 減少されたゲート抵抗を有する半導体装置の製造方法において、側壁にスペーサを有するダミーゲートパターンを半導体基板上に形成する段階と、前記ダミーゲートパターンと同一な高さを有し、上部が平坦な第1絶縁膜を前記半導体基板上に形成する段階と、前記ダミーゲートパターンを前記側壁スペーサ及び前記第1絶縁膜に対して選択的に除去して前記半導体基板を露出させるグルーブを形成する段階と、前記露出された半導体基板上にゲート絶縁膜を形成する段階と、低抵抗導電物質で前記グルーブの一部を充填してゲート電極を形成する段階と、前記グルーブの残り部分を充填する前記第1絶縁膜に対してエッチング選択比を有するゲートキャッピング膜を形成する段階とを含み、
    低抵抗導電物質で前記グルーブの一部を充填してゲート電極を形成する段階は、前記グルーブ内部及び前記第1絶縁膜上に前記グルーブの一部を充填するコンフォーマルな低抵抗導電物質を形成する段階と、前記コンフォーマルな低抵抗導電物質上にグルーブを実質的に完全に充填するようにエッチング阻止膜を形成する段階と、前記第1絶縁膜上のエッチング阻止膜及び前記コンフォーマルな低抵抗導電物質をエッチングする段階と、前記グルーブ内の残存するエッチング阻止膜を除去する段階とを含み、
    前記第1絶縁膜及び前記ゲートキャッピング膜上に前記スペーサ及びゲートキャッピング膜に対してエッチング選択比を有する第2絶縁膜を形成する段階と、前記第2絶縁膜及び第1絶縁膜の所定部分を前記ゲートキャッピング膜及び前記側壁スペーサに対して選択的にエッチングしてオープニングを形成する段階と、前記オープニング下部の犠牲絶縁膜を除去して前記半導体基板を露出させる段階と、前記オープニングを充填するように前記第2絶縁膜上に導電膜を形成する段階と、前記ゲートキャッピング膜の上部が現れる時まで前記導電膜及び前記第2絶縁膜をエッチングする段階とを含む
    ことを特徴とする半導体装置の製造方法。
  2. 低抵抗導電物質で前記グルーブの一部を充填してゲート電極を形成する段階は、前記グルーブ内部及び前記第1絶縁膜上に前記低抵抗導電物質を形成する段階と、前記低抵抗導電物質が前記第1絶縁膜表面から前記グルーブ内へ一定深さリセスされるように前記低抵抗導電物質をエッチングする段階を含む
    ことを特徴とする請求項1に記載の半導体装置の製造方法。
  3. 前記低抵抗導電物質は、TiN/W、ポリシリコン、又はポリシリコン/シリサイドで形成される
    ことを特徴とする請求項1に記載の半導体装置の製造方法。
  4. 側壁にスペーサを有するダミーゲートパターンを半導体基板上に形成する段階は、
    前記半導体基板上に犠牲絶縁膜を形成する段階と、
    前記犠牲絶縁膜上にダミーゲート物質膜を形成する段階と、前記ダミーゲート物質膜をパターニングして前記犠牲絶縁膜上に前記ダミーゲートパターンを形成する段階と、
    前記犠牲絶縁膜及び前記ダミーゲートパターン上に前記第1絶縁膜に対してエッチング選択比を有するスペーサ膜を形成する段階と、
    前記スペーサ膜を非等方性エッチングする段階とを含む
    ことを特徴とする請求項1に記載の半導体装置の製造方法。
  5. 前記ダミーゲートパターンの形成の後、前記ダミーゲートパターンをマスクとして使用して第1イオン注入及び熱処理を実施して、前記ダミーゲートパターン両側の前記半導体基板にLDD領域を形成する段階を含み、前記ダミーゲートパターンを前記側壁スペーサ及び前記第1絶縁膜に対して選択的に除去して前記半導体基板を露出させるグルーブを形成する段階は、前記ダミーゲートパターンを前記側壁スペーサ、第1絶縁膜、そして、犠牲絶縁膜に対して選択的に除去する段階と、前記露出された犠牲絶縁膜を通じて第2イオン注入及び熱処理を実施して、前記ダミーゲートパターン下部の半導体基板に自己整合チャンネル領域を形成する段階と、前記露出された犠牲絶縁膜を除去して前記半導体基板を露出させる段階とを含む
    ことを特徴とする請求項に記載の半導体装置の製造方法。
  6. 前記導電膜は前記低抵抗導電物質と同一な物質で形成される
    ことを特徴とする請求項に記載の半導体装置の製造方法。
  7. 前記ゲート絶縁膜は、シリコン酸化膜、シリコン酸化窒化膜、又はタンタル酸化膜(Ta)で形成され、前記側壁スペーサ及び前記ゲートキャッピング膜はシリコン窒化膜で形成され、前記第1絶縁膜はシリコン酸化膜で形成される
    ことを特徴とする請求項1に記載の半導体装置の製造方法。
  8. 減少されたゲート抵抗及び自己整合コンタクトを有する半導体装置の製造方法において、半導体基板上に側壁スペーサを有する第1ダミーゲートパターン及び第2ダミーゲートパターンを形成し、前記第2ダミーゲートパターンが前記第1ダミーゲートパターンに比べて大幅を有するように形成する段階と、前記半導体基板に前記各ダミーゲートパターンと実質的に同一な高さを有し、前記スペーサに対してエッチング選択比を有する第1絶縁膜を形成する段階と、前記第1及び第2ダミーゲートパターンを除去して前記半導体基板を露出させる第1及び第2グルーブを各々形成する段階と、前記各グルーブによって露出された半導体基板上に各々第1及び第2ゲート絶縁膜を形成する段階と、前記各グルーブを含み、前記第1絶縁膜上に低抵抗導電物質を形成し、前記第1グルーブは完全に充填し、前記第2グルーブは一部だけを充填してリセス領域を形成する段階と、前記第2グルーブのリセス領域を実質的に完全に充填するように前記低抵抗導電物質上にエッチング阻止膜を形成する段階と、前記低抵抗導電物質の上部が現れる時まで、前記エッチング阻止膜をエッチングし、前記第2グルーブのリセス領域上には前記エッチング阻止膜の一部が残存する段階と、前記エッチング阻止膜残留物をマスクとして使用して前記第1絶縁膜上部表面から前記第1グルーブ内部へリセスされるように前記低抵抗導電物質をエッチングする段階と、前記第1及び第2グルーブの残り部分を絶縁物質で充填して、各々第1及び第2ゲートキャッピング膜を形成する段階とを含み、
    前記ゲートキャッピング膜及び側壁スペーサはシリコン窒化膜で形成され、前記第1及び第2絶縁膜はシリコン酸化膜で形成され、
    前記第1絶縁膜及び前記ゲートキャッピング膜上に第2絶縁膜を形成する段階と、前記第2絶縁膜及び第1絶縁膜の所定部分を前記側壁スペーサ及び前記ゲートキャッピング膜に対して選択的にエッチングして前記第1ゲート電極両側の半導体基板を露出させる自己整合コンタクトオープニングを形成する段階と、前記自己整合コンタクトオープニング及び前記第2絶縁膜上に前記低抵抗導電物質と同一な物質を形成する段階と、前記ゲートキャッピング膜が露出される時まで平坦化エッチングを実施して自己整合コンタクトパッドを形成する段階とを含む
    ことを特徴とする半導体装置の製造方法。
  9. 前記エッチング阻止膜はフォトレジスタ膜又はスピン−オン−グラス膜で形成される
    ことを特徴とする請求項に記載の半導体装置の製造方法。
  10. 前記エッチング阻止膜残留物を除去する段階を含む
    ことを特徴とする請求項に記載の半導体装置の製造方法。
  11. 前記グルーブを形成した後、前記側壁スペーサを除去して前記グルーブ幅を広め、広まれたグルーブの側壁は垂直プロファイルを有する段階と、前記広まれたグルーブ側壁にグルーブ内部に向かうリバース側壁スペーサを形成する段階を含む
    ことを特徴とする請求項1または請求項8に記載の半導体装置の製造方法。
  12. 前記側壁スペーサは第1絶縁膜と同一な物質で形成され、前記リバース側壁スペーサは前記第1絶縁膜に対してエッチング選択比を有する物質で形成される
    ことを特徴とする請求項11に記載の半導体装置の製造方法。
  13. 低抵抗導電物質で前記グルーブの一部を充填してゲート電極を形成する段階は、前記グルーブを完全に充填するように前記第1絶縁膜上に前記低抵抗導電物質を形成する段階と、前記第1絶縁膜上部が現れる時まで前記低抵抗導電物質を平坦化エッチングする段階と、前記低抵抗導電物質が前記第1絶縁膜表面から前記グルーブ内へ一定深さリセスされるように前記低抵抗導電物質をエッチバックする段階とを含む
    ことを特徴とする請求項1に記載の半導体装置の製造方法。
JP2001063643A 2000-03-09 2001-03-07 ダマシンゲート工程で自己整合コンタクトパッド形成方法 Expired - Fee Related JP4903313B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR20000011821 2000-03-09
KR200011821 2000-03-09
KR1020000055794A KR100350056B1 (ko) 2000-03-09 2000-09-22 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
KR200055794 2000-09-22

Publications (2)

Publication Number Publication Date
JP2001291867A JP2001291867A (ja) 2001-10-19
JP4903313B2 true JP4903313B2 (ja) 2012-03-28

Family

ID=26637408

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001063643A Expired - Fee Related JP4903313B2 (ja) 2000-03-09 2001-03-07 ダマシンゲート工程で自己整合コンタクトパッド形成方法

Country Status (5)

Country Link
US (1) US6613621B2 (ja)
JP (1) JP4903313B2 (ja)
KR (1) KR100350056B1 (ja)
CN (1) CN1177353C (ja)
TW (1) TW559913B (ja)

Families Citing this family (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001071807A1 (fr) * 2000-03-24 2001-09-27 Fujitsu Limited Dispositif a semi-conducteur et son procede de fabrication
JP4096507B2 (ja) * 2000-09-29 2008-06-04 富士通株式会社 半導体装置の製造方法
KR100428804B1 (ko) * 2001-02-23 2004-04-29 삼성전자주식회사 반도체 제조 공정의 막질 형성 방법, 이를 이용한 트렌치 격리 형성 방법 및 그에 따른 소자 분리 트렌치 격리 구조
KR100557600B1 (ko) * 2001-06-29 2006-03-10 주식회사 하이닉스반도체 나이트라이드 cmp용 슬러리
JP4139586B2 (ja) * 2001-11-27 2008-08-27 松下電器産業株式会社 半導体装置およびその製造方法
KR100444301B1 (ko) * 2001-12-29 2004-08-16 주식회사 하이닉스반도체 질화막 cmp를 이용한 다마신 금속 게이트 형성 방법
KR100476924B1 (ko) * 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6787836B2 (en) * 2002-08-21 2004-09-07 International Business Machines Corporation Integrated metal-insulator-metal capacitor and metal gate transistor
JP4209206B2 (ja) * 2003-01-14 2009-01-14 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US7074717B2 (en) * 2003-03-04 2006-07-11 Micron Technology, Inc. Damascene processes for forming conductive structures
US6867080B1 (en) * 2003-06-13 2005-03-15 Advanced Micro Devices, Inc. Polysilicon tilting to prevent geometry effects during laser thermal annealing
KR100524804B1 (ko) * 2003-06-30 2005-11-01 주식회사 하이닉스반도체 반도체 소자의 스토리지노드 콘택 플러그 형성방법
US7060581B2 (en) * 2003-10-09 2006-06-13 Kabushiki Kaisha Toshiba Method for manufacturing a semiconductor device
US6998657B2 (en) * 2003-10-21 2006-02-14 Micron Technology, Inc. Single poly CMOS imager
US7026203B2 (en) * 2003-12-31 2006-04-11 Dongbuanam Semiconductor Inc. Method for forming dual gate electrodes using damascene gate process
US7521368B2 (en) * 2004-05-07 2009-04-21 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US6884715B1 (en) 2004-06-04 2005-04-26 International Business Machines Corporation Method for forming a self-aligned contact with a silicide or damascene conductor and the structure formed thereby
US7479684B2 (en) * 2004-11-02 2009-01-20 International Business Machines Corporation Field effect transistor including damascene gate with an internal spacer structure
KR100562309B1 (ko) * 2004-12-29 2006-03-22 동부아남반도체 주식회사 리버스 스페이서를 갖는 트랜지스터 및 그 제조 방법
US20060148182A1 (en) * 2005-01-03 2006-07-06 Suman Datta Quantum well transistor using high dielectric constant dielectric layer
US7163853B2 (en) * 2005-02-09 2007-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a capacitor and a metal gate on a semiconductor device
KR100640639B1 (ko) * 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
KR100632046B1 (ko) * 2005-07-05 2006-10-04 동부일렉트로닉스 주식회사 반도체 소자의 게이트 라인 및 그 제조 방법
US7651950B2 (en) * 2007-09-28 2010-01-26 Hynix Semiconductor Inc. Method for forming a pattern of a semiconductor device
JP5107680B2 (ja) * 2007-11-16 2012-12-26 パナソニック株式会社 半導体装置
KR101406888B1 (ko) * 2007-12-13 2014-06-30 삼성전자주식회사 반도체 소자의 제조 방법
US7723192B2 (en) * 2008-03-14 2010-05-25 Advanced Micro Devices, Inc. Integrated circuit long and short channel metal gate devices and method of manufacture
JP2008235925A (ja) * 2008-04-25 2008-10-02 Sanyo Electric Co Ltd 半導体装置の製造方法
US7955964B2 (en) 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US8048752B2 (en) 2008-07-24 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer shape engineering for void-free gap-filling process
US8735235B2 (en) 2008-08-20 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit metal gate structure and method of fabrication
US8237227B2 (en) 2008-08-29 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate structure for gate last process
US7915105B2 (en) * 2008-11-06 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for patterning a metal gate
US8614131B2 (en) * 2009-02-03 2013-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned static random access memory (SRAM) on metal gate
US8084320B2 (en) * 2009-07-13 2011-12-27 Winbond Electronics Corp. Non-volatile memory and method for fabricating the same
JP5550286B2 (ja) * 2009-08-26 2014-07-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
KR101574107B1 (ko) * 2010-02-11 2015-12-04 삼성전자 주식회사 반도체 장치의 제조 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR20110135136A (ko) * 2010-06-10 2011-12-16 주식회사 하이닉스반도체 반도체 장치의 극미세 패턴 형성을 위한 방법
CN102468174B (zh) * 2010-11-18 2014-01-01 中国科学院微电子研究所 一种半导体器件及其形成方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102751189B (zh) * 2011-04-20 2015-04-01 中芯国际集成电路制造(上海)有限公司 晶体管的制备方法
CN102790008A (zh) * 2011-05-16 2012-11-21 中芯国际集成电路制造(上海)有限公司 形成接触插栓的方法
US8704294B2 (en) * 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
KR20130007378A (ko) * 2011-07-01 2013-01-18 삼성전자주식회사 반도체 장치
TWI508293B (zh) * 2011-07-11 2015-11-11 United Microelectronics Corp 具有金屬閘極之半導體元件及其製作方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN102437186B (zh) * 2011-08-04 2014-09-03 上海华力微电子有限公司 一种有助于消除倒u形镍硅化物的器件结构及其制备工艺
US20130049123A1 (en) * 2011-08-23 2013-02-28 Globalfoundries Inc. Semiconductor Device with DRAM Word Lines and Gate Electrodes in Non-Memory Regions of the Device Comprised of a Metal, and Methods of Making Same
US20130260564A1 (en) * 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN102569052B (zh) * 2011-11-11 2015-06-17 上海华力微电子有限公司 一种有助于消除u型镍硅化物的器件结构及其相应工艺
US8614123B2 (en) * 2011-11-28 2013-12-24 Globalfoundries Inc. Method of forming a semiconductor device by using sacrificial gate electrodes and sacrificial self-aligned contact structures
KR101900024B1 (ko) 2011-12-22 2018-09-19 인텔 코포레이션 반도체 구조
KR101909091B1 (ko) * 2012-05-11 2018-10-17 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8896030B2 (en) 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9583429B2 (en) 2013-11-14 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming same
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9524965B2 (en) * 2014-02-12 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures with various widths and method for forming the same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9368591B2 (en) * 2014-07-18 2016-06-14 Globalfoundries Inc. Transistors comprising doped region-gap-doped region structures and methods of fabrication
CN105280486B (zh) 2014-07-23 2020-09-22 联华电子股份有限公司 金属栅极结构的制作方法
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
CN105633135B (zh) * 2014-11-06 2019-03-12 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106531776B (zh) * 2015-09-11 2021-06-29 联华电子股份有限公司 半导体结构
US9780092B2 (en) * 2016-02-19 2017-10-03 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device having a filling conductor comprising a plug portion and a cap portion and manufacturing method thereof
KR102593707B1 (ko) 2016-10-05 2023-10-25 삼성전자주식회사 반도체 장치
US10714621B2 (en) * 2016-12-14 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming doped channel thereof
US10186599B1 (en) * 2017-07-20 2019-01-22 International Business Machines Corporation Forming self-aligned contact with spacer first
KR102328279B1 (ko) * 2017-08-11 2021-11-17 삼성전자주식회사 반도체 소자
US10229983B1 (en) 2017-11-16 2019-03-12 International Business Machines Corporation Methods and structures for forming field-effect transistors (FETs) with low-k spacers
US10559470B2 (en) * 2018-01-22 2020-02-11 Globalfoundries Inc. Capping structure
KR102521890B1 (ko) * 2018-07-17 2023-04-14 삼성전자주식회사 반도체 장치
US11482495B2 (en) 2018-11-30 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement and method for making
KR102709128B1 (ko) * 2019-01-31 2024-09-23 삼성전자주식회사 반도체 장치의 제조 방법 및 이를 이용하여 제조한 반도체 장치
US11069714B1 (en) * 2019-12-31 2021-07-20 Taiwan Semiconductor Manufacturing Company Ltd. Boundary scheme for semiconductor integrated circuit and method for forming an integrated circuit

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH069200B2 (ja) * 1987-03-31 1994-02-02 株式会社東芝 金属配線の形成方法
JPH0794715A (ja) * 1993-09-21 1995-04-07 Matsushita Electric Ind Co Ltd Mos型トランジスタの製造方法
JPH0794722A (ja) * 1993-09-24 1995-04-07 Sony Corp 積み上げ拡散層構造のmosトランジスタおよびその製造方法
US5434093A (en) * 1994-08-10 1995-07-18 Intel Corporation Inverted spacer transistor
JP3963023B2 (ja) * 1996-04-26 2007-08-22 ソニー株式会社 半導体集積装置の製造方法
JPH10144915A (ja) * 1996-11-05 1998-05-29 Fujitsu Ltd 半導体装置及びその製造方法
JPH10189966A (ja) * 1996-12-26 1998-07-21 Toshiba Corp 半導体装置及びその製造方法
US6346438B1 (en) * 1997-06-30 2002-02-12 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device
JPH1126757A (ja) * 1997-06-30 1999-01-29 Toshiba Corp 半導体装置及びその製造方法
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
JP3025478B2 (ja) * 1998-07-13 2000-03-27 松下電器産業株式会社 半導体装置およびその製造方法
US6225173B1 (en) * 1998-11-06 2001-05-01 Advanced Micro Devices, Inc. Recessed channel structure for manufacturing shallow source/drain extensions
US6333247B1 (en) * 1999-02-10 2001-12-25 International Business Machines Corporation Two-step MOSFET gate formation for high-density devices
US6258711B1 (en) * 1999-04-19 2001-07-10 Speedfam-Ipec Corporation Sacrificial deposit to improve damascene pattern planarization in semiconductor wafers
JP3142125B2 (ja) * 1999-07-16 2001-03-07 株式会社東芝 半導体装置
KR100582370B1 (ko) * 1999-12-17 2006-05-23 주식회사 하이닉스반도체 다마신공정을 이용한 게이트전극의 제조 방법
JP2001217248A (ja) * 2000-02-04 2001-08-10 Nec Corp 半導体装置の配線形成方法

Also Published As

Publication number Publication date
KR100350056B1 (ko) 2002-08-24
US20010055842A1 (en) 2001-12-27
CN1319881A (zh) 2001-10-31
JP2001291867A (ja) 2001-10-19
TW559913B (en) 2003-11-01
CN1177353C (zh) 2004-11-24
US6613621B2 (en) 2003-09-02
KR20010088287A (ko) 2001-09-26

Similar Documents

Publication Publication Date Title
JP4903313B2 (ja) ダマシンゲート工程で自己整合コンタクトパッド形成方法
US6387765B2 (en) Method for forming an extended metal gate using a damascene process
KR100467020B1 (ko) 자기 정렬된 접합영역 콘택홀을 갖는 반도체 장치 및 그제조 방법
KR100431656B1 (ko) 반도체 장치의 제조 방법
US6528368B1 (en) Method for fabricating semiconductor device, and semiconductor device, having storage node contact flugs
US6335279B2 (en) Method of forming contact holes of semiconductor device
KR100846099B1 (ko) 리세스 채널 트랜지스터를 포함하는 반도체 장치 제조 방법
JP4064674B2 (ja) 半導体素子のメタルコンタクト形成方法
KR100467021B1 (ko) 반도체 소자의 콘택 구조체 및 그 제조방법
US20100127398A1 (en) Wiring structure of a semiconductor device
KR100541515B1 (ko) 수직 채널 패턴을 갖는 반도체 장치 및 이를 제조하는 방법
US6777812B2 (en) Semiconductor devices having protected plug contacts and upper interconnections
JP2000068481A (ja) Dram装置の製造方法
JP2002359297A (ja) 半導体素子のコンタクトプラグ形成方法
US7678676B2 (en) Method for fabricating semiconductor device with recess gate
US6514816B2 (en) Method of fabricating a self-aligned shallow trench isolation
US6130121A (en) Method for fabricating a transistor
US6383921B1 (en) Self aligned silicide contact method of fabrication
KR20040069515A (ko) 리세스 채널 mosfet 및 그 제조방법
US6753215B2 (en) Methods for manufacturing semiconductor devices and semiconductor devices
US7482256B2 (en) Semiconductor device and method of manufacturing the same
KR100345069B1 (ko) 반도체 소자의 폴리실리콘 플러그 형성방법
US7696075B2 (en) Method of fabricating semiconductor device having a recess channel structure therein
US20030203568A1 (en) Semiconductor device manufacturing method and semiconductor device
TWI627705B (zh) 半導體裝置及其製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080304

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110906

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111107

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111206

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120105

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4903313

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150113

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees