JP2020528215A - 自己整合コンタクトを形成する方法およびデバイス構造体 - Google Patents

自己整合コンタクトを形成する方法およびデバイス構造体 Download PDF

Info

Publication number
JP2020528215A
JP2020528215A JP2020502130A JP2020502130A JP2020528215A JP 2020528215 A JP2020528215 A JP 2020528215A JP 2020502130 A JP2020502130 A JP 2020502130A JP 2020502130 A JP2020502130 A JP 2020502130A JP 2020528215 A JP2020528215 A JP 2020528215A
Authority
JP
Japan
Prior art keywords
gate
spacer
forming
trench
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020502130A
Other languages
English (en)
Other versions
JP7157134B2 (ja
Inventor
ファン、スーチェン
プラナターシハラン、バラスブラマニアン
グリーン、アンドリュー
シエ、ルイロン
レイモンド、マーク、ビクター
リアン、シアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2020528215A publication Critical patent/JP2020528215A/ja
Application granted granted Critical
Publication of JP7157134B2 publication Critical patent/JP7157134B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

【課題】コンタクトを形成する前にゲート側壁スペーサおよびゲートを形成することにより自己整合コンタクトを形成するための技術を提供する。【解決手段】1つの態様では、自己整合コンタクトを形成する方法は、基板の上に多数のゲート側壁スペーサを形成するステップと、誘電体の中にゲート側壁スペーサを埋め込むステップと、ゲートが形成されるゲート側壁スペーサ同士の間の領域から誘電体を選択的に除去することによりゲート・トレンチを形成するステップと、ゲート・トレンチ内にゲートを形成するステップと、自己整合コンタクトが形成されるゲート側壁スペーサ同士の間の領域から誘電体を選択的に除去することによりコンタクト・トレンチを形成するステップと、コンタクト・トレンチ内に自己整合コンタクトを形成するステップとを含む。自己整合コンタクトを有するデバイス構造体もまた提供する。【選択図】図15

Description

本発明は、自己整合コンタクトを形成するための技術に関し、より詳細には、コンタクトを形成する前に(例えば、側壁イメージ転写(SIT)技術を使用して)ゲート側壁スペーサおよびゲートを形成することにより自己整合コンタクトを形成することに関する。
置換金属ゲート(またはRMG)プロセスの利点は、ゲート・スタックがプロセスの終わりに配置されるので、ゲート・スタックが潜在的な損傷を受ける条件から保護されることである。例えば、RMGを用いると、犠牲ゲートまたはダミー・ゲートが、例えば、ソースおよびドレイン領域を配置する、等のためのプレースホルダとして働く。従来のRMGプロセス・フローを用いると、誘電体を、そのときにはダミー・ゲートの周りに堆積し、ダミー・ゲートが(置換)金属ゲート・スタックで置き換えられることを可能にする。ソースおよびドレイン・コンタクトを、そのときには金属ゲート・スタック同士の間に形成することができる。
しかしながら、スケーリングされたデバイス技術を用いると、フィーチャ・サイズは、直接パターニング技術を使用して合理的に実現されるものよりも小さいサイズが含まれる。例えば、ゲート間の間隔は、金属ゲート・スタック同士の間にコンタクトを配置することが極めて挑戦的であるほど小さくなってきている。コンタクトのサイズの縮小は、これがコンタクト抵抗の増加をもたらすので、常に実行可能な選択肢であるとは限らない。
これゆえ、自己整合コンタクトを形成するためのスケーリング可能なプロセス技術が望まれるはずである。
本発明の1つの態様では、自己整合コンタクトを形成する方法を提供する。方法は、基板の上に多数のゲート側壁スペーサを形成するステップと、誘電体の中にゲート側壁スペーサを埋め込むステップと、ゲートが形成されるゲート側壁スペーサ同士の間の領域から誘電体を選択的に除去することによりゲート・トレンチを形成するステップと、ゲート・トレンチ内にゲートを形成するステップと、自己整合コンタクトが形成されるゲート側壁スペーサ同士の間の領域から誘電体を選択的に除去することによりコンタクト・トレンチを形成するステップと、コンタクト・トレンチ内に自己整合コンタクトを形成するステップとを含む。本発明の実施形態は、したがって、コンタクトを形成する前にゲート側壁スペーサおよびゲートを形成することにより自己整合コンタクトを形成するための技術を提供する。
本発明のもう1つの態様では、デバイス構造体を提供する。デバイス構造体は、基板上の多数のゲート側壁スペーサと、ゲートおよびゲート側壁スペーサ同士の間の領域内のゲートに自己整合したコンタクトであって、ゲートの各々が金属ゲートを含み、コンタクトの各々がトレンチ・シリサイドを含む、ゲートおよびコンタクトを含む。
本発明のより完全な理解ならびに本発明のさらなる特徴および利点は、下記の詳細な説明および図面を参照して得られるだろう。
本発明の実施形態を、単に例として添付した図面を参照してここで説明する。
本発明のある実施形態にしたがって、基板、基板上のスペーサ材料層、およびスペーサ材料層上の複合スペーサ/マンドレル側壁イメージ転写(SIT)構造を含む自己整合コンタクト形成のための開始プラットフォームを図示している断面図である。 本発明のある実施形態にしたがって、複合SITスペーサに対して選択的に除去されているマンドレルを図示している断面図である。 本発明のある実施形態にしたがって、スペーサ材料層をパターニングするためマスクとして使用されている複合SITスペーサを図示している断面図である。 本発明のある実施形態にしたがって、第1のスペーサに対して第2のスペーサが選択的に除去されたのを図示している断面図である。 本発明のある実施形態にしたがって、スペーサ材料層を複数のゲート側壁スペーサへとさらにトリミングするために第1のスペーサが使用されたのを図示している断面図である。 本発明のある実施形態にしたがって、ゲート側壁スペーサが誘電体の中に埋め込まれたのを図示している断面図である。 本発明のある実施形態にしたがって、自己整合コンタクトが形成されるゲート側壁スペーサ同士の間の領域の上方に/覆ってマスクが形成されたのを図示している断面図である。 本発明のある実施形態にしたがって、置換金属ゲート(RMG)がゲート側壁スペーサ同士の間のゲート・トレンチに結果として形成されるゲート側壁スペーサ同士の間の領域から誘電体の選択的な除去を可能にするためにマスクが使用されたのを図示している断面図である。 本発明のある実施形態にしたがって、ゲート・スタック材料がゲート・トレンチの中へと堆積され、埋めているのを図示している断面図である。 本発明のある実施形態にしたがって、ゲート・トレンチ内に別個のゲート・スタックを形成するためにゲート・スタック材料が研磨されたのを図示している断面図である。 本発明のある実施形態にしたがって、除去しようとしているゲート・スタックのうちの1つまたは複数を除いてすべてを選択的に覆ってマスクが形成されたのを図示している断面図である。 本発明のある実施形態にしたがって、露出したゲート・スタックを除去するためにマスクを通したエッチングが実行されたのを図示している断面図である。 本発明のある実施形態にしたがって、絶縁体で埋められており、ゲート・スタックが除去されているゲート側壁スペーサ同士の間の領域を図示している断面図である。 本発明のある実施形態にしたがって、誘電体が金属ゲート・スタックに対して選択的に除去されて、ゲート・スタック同士の間に複数のコンタクト・トレンチを形成したのを図示している断面図である。 本発明のある実施形態にしたがって、コンタクト・トレンチ内にコンタクトが形成されたのを図示している断面図である。 本発明のある実施形態による複合スペーサおよび単一スペーサの横並びの例を図示している断面図である。
本明細書において提供するものは、ゲート側壁スペーサを最初に形成し、置換金属ゲート(RMG)形成が続き、そして最後にコンタクト・メタライゼーションがある側壁イメージ転写(SIT)技術を活用している新規な置換金属ゲート(またはRMG)プロセス・フローを使用して自己整合コンタクトを形成するための技術である。有利なことに、SITは、サブ−リソグラフィ・フィーチャ(すなわち、直接パターニング技術を使用して達成できるものよりも小さいフィーチャ)のパターニングを可能にする。SITは、マンドレルを形成すること、マンドレルの対向する側面の上にスペーサを形成すること、次いでスペーサに対して選択的にマンドレルを除去することを一般に含む。スペーサは次いで、下にある基板をパターニングするために使用される。各々のパターニングしたマンドレルに関して、少なくとも2つのスペーサがあることが注目に値する。したがって、SITは、ピッチ2倍化技術としばしば考えられる。
本技術の例示的な実施形態を、図1〜図15を参照としてここで説明する。図1に示したように、プロセスは、基板102で始まり、基板の上に、金属ゲート・スタックおよびその金属ゲート・スタックに自己整合するコンタクトの形成が望まれる。基板102の特定の構成は、本技術の基本的な中心事ではない、しかしながら、基板は、上方に金属ゲート・スタックが形成されるプレーナまたは非プレーナ(例えば、フィン)チャネル材料を包含している能動領域ならびに(本自己整合の)コンタクトが形成されるソースおよびドレイン領域を含むように(標準的なプロセスを使用して)用意されるバルク・シリコン(Si)ウェハまたはシリコン・オン・インシュレータ(SOI)ウェハなどの半導体基板であることを想定するはずである。
上記の通り、プロセスの第1の段階は、先ず複数のゲート側壁スペーサを形成することに関する。これらのスペーサはまた、本明細書においては「多数の(sea of)スペーサ」とも呼ばれる。ゲート側壁スペーサを、標準的な直接パターニング・プロセスを含め多くの異なる方法で形成することができる。しかしながら、例示的な実施形態によれば、ゲート側壁スペーサを、SITを使用して形成する。さらに、この例示的な実施形態では、スペーサを、窒化ケイ素(SiN)、炭窒化ケイ素(SiCN)、ホウ炭窒化ケイ素(SiBCN)、酸炭窒化ケイ素(SiOCN)、およびこれらの組み合わせなどの適切なスペーサ材料から形成する。また、複合SITスペーサ構成を、この例では使用する。下記に詳細に説明するように、複合スペーサSITは、スペーサの最上部角のところの丸まりを防止する。スペーサの最上部角のところの丸まりは、デバイス構造体に多くの幅バラツキを生じさせる。比較すると、複合スペーサを使用することは、スペーサが角張った肩を持って形成されることを可能にする。
このように、図1に示したように、スペーサ材料層104を基板102の上に形成する。上記の通り、適切なスペーサ材料は、SiN、SiCN、SiBCNまたはSiOCNあるいはその組み合わせを含むが、これらに限定されない。複合SITスペーサ構造を次いで、スペーサ材料層104の上に形成する。図1に示したように、複合スペーサSIT構造は、複数のマンドレル106、マンドレル106の対向する側面上の第1のスペーサ108、およびマンドレル106と反対の第1のスペーサ108の側面上の第2のスペーサ110を含む。
先ず適切なマンドレル材料をスペーサ材料層の上にブランケット堆積すること、次いでマンドレル材料を個々のマンドレルへとパターニングすることにより、マンドレル106をスペーサ材料層104の上に形成することができる。適切なマンドレル材料は、非晶質シリコン(Si)および非晶質炭素を含むが、これらに限定されない。非晶質シリコン膜を、例えば、物理または化学気相堆積プロセスを使用して堆積することができる。非晶質炭素膜を、例えば、マグネトロン・スパッタリングを使用して堆積することができる。標準的なリソグラフィおよびエッチング技術を、マンドレル106をパターニングするために使用することができる。例えば、この技術で知られているように、パターニングしたハードマスク(例えば、窒化ケイ素(SiN))(図示せず)を、マンドレル106のフットプリントおよび場所をマスキングするマンドレル材料の上に形成することができる。パターニングしたハードマスクを通したエッチングを次いで、個々のマンドレル106へと材料をパターニングするために実行することができる。適切なエッチング・プロセスは、反応性イオン・エッチング(RIE)などの異方性エッチング・プロセスを含むが、これらに限定されない。エッチングに続いて、マンドレル・ハードマスクのすべての残留部分を除去することができる。
下記に説明するように、マンドレル106を、第1および第2のスペーサ108と110に対して選択的に除去し、そして第2のスペーサ110を次いで、第1のスペーサに対して選択的に除去する。したがって、選択的な除去を可能にするために、マンドレル106、第1のスペーサ108、および第2のスペーサ110を好ましくはすべて異なる材料から形成する。例示的な実施形態によれば、第1のスペーサ108を二酸化ケイ素(SiO)から形成し、第2のスペーサ110を(SiNなどの)窒化物から形成する、または逆も同様である。他方のスペーサに対して選択的な一方のスペーサの除去を、そのときには酸化物または窒化物選択性エッチングを使用して容易に実現することができる。
それぞれのスペーサ材料を堆積し、次いでマンドレル106の対向する側面の上に個々のスペーサ108を形成するために標準的なリソグラフィおよびエッチング技術を使用することにより、第1のスペーサ108を形成することができる。マンドレル106とは反対の第1のスペーサ108の側面の上に第2のスペーサ110を形成するために、同じプロセスを次いで使用することができる。結果は、図1に示した複合スペーサである。
次に、図2に示したように、マンドレル106を、複合スペーサ(第1/第2のスペーサ108/110)に対して選択的に除去する。例示的な実施形態によれば、マンドレル106を、選択性ウェット・エッチングなどの等方性エッチング・プロセスを使用して除去する。マンドレル除去の後に残るものは、スペーサ材料層104の上方の複合SITスペーサである。複合SITスペーサの使用が単に例であり、SITパターニングがより標準的な単一スペーサ/マンドレル構成で利用される実施形態が、本明細書では予期されることが特徴的である。
複合SITスペーサ(すなわち、第1のスペーサ108/第2のスペーサ110)を次いで、スペーサ材料層104をパターニングするためにマスクとして使用する。図3参照。例示的な実施形態によれば、スペーサ材料層を、基板102で止まる異方性エッチング・プロセスを使用してパターニングする。上記の通り、複合スペーサは、スペーサの最上部の角のところの丸まりを防止し、これによりスペーサが角張った肩を持って形成されることを可能にする。
第2のスペーサ110を次いで、第1のスペーサ108に対して選択的に除去する。図4参照。上記の通り、第1および第2のスペーサを、酸化物に対して窒化物、またはその逆などの異なる材料から好ましくは形成する。そのケースでは、酸化物または窒化物選択性エッチングを、第1のスペーサ108に対して第2のスペーサ110を除去するために使用することができる。第2のスペーサ110を除去することは、スペーサ材料層104の第2のエッチングが実行されること、スペーサをさらに薄くすることを可能にする。
すなわち、図5に示したように、スペーサ材料層104を複数の(すなわち、多数の)ゲート側壁スペーサへとさらにトリミングするために、第1のスペーサ108を次いで使用する。スペーサ材料層104から形成されたゲート側壁スペーサには、ここで参照番号104aを与えよう。
今やゲート側壁スペーサが形成されているので、プロセスの次の段階は、RMGを形成することである。そうするために、ゲート側壁スペーサを誘電体602に埋め込み(図6参照)、次いで、RMGが形成されるゲート側壁スペーサ104a同士の間の領域から誘電体602を選択的に除去する(図7および図8参照−下記に説明する)。適切な誘電体は、SiOを含むが、これに限定されない。図6に示したように、堆積に続いて、誘電体を、(例えば、エッチング・ストップとして作用する第1のスペーサ108を用いて化学機械研磨すなわちCMPなどのプロセスを使用して)平坦化することができる。
RMGが形成されるゲート側壁スペーサ104a同士の間の領域からの誘電体602の選択的除去を可能にするために、マスク702を、自己整合コンタクトが形成されるゲート側壁スペーサ104a同士の間の領域の上方に/覆って形成する。図7参照。例示的な実施形態によれば、マスク702は、窒化物(例えば、SiN)ハードマスクである。明確にするために、(自己整合コンタクトの代わりに)SACおよびゲートという符号を、それぞれ自己整合コンタクトおよびゲートが形成されるゲート側壁スペーサ104a同士の間の領域を図示するために図ではここで使用する。
マスク702を次いで、RMGが形成されるゲート側壁スペーサ104a同士の間の領域から誘電体602の選択的除去を可能にするために使用する。図8参照。マスク702は、自己整合コンタクトが形成されるゲート側壁スペーサ104a同士の間の領域に存在する誘電体602を保護する。単に例として、誘電体602がSiOであるときには、誘電体602を、基板102上の酸化物選択的エッチング・ストップを使用してRMG領域からきれいに取り除くことができる。このプロセスを通して、ゲート・トレンチを、ゲート側壁スペーサ104a同士の間に効果的に形成する。図8参照。
図9および図10に示したように、RMGを次いで、ゲート・トレンチ内に形成する。すなわち、図9に示したように、ゲート・スタック材料902をゲート・トレンチ内へと堆積して埋める。単に例として、ゲート・スタック材料は、ゲート誘電体および仕事関数と充填金属層との組み合わせを含むことができる。例えば、ゲート誘電体を、ゲート・トレンチ内へと堆積し、続いて(ゲート誘電体の上に)仕事関数設定金属、そして次いで(仕事関数設定金属の上に)充填金属を堆積することができる。金属ゲートにとって適切なゲート誘電体は、酸化ハフニウム(HfO)および酸化ランタン(La)などのhigh−k材料を含むが、これらに限定されない。「high−k」という用語は、本明細書において使用されるように、二酸化ケイ素の比誘電率よりもはるかに高い比誘電率kを有する材料(例えば、二酸化ケイ素についての誘電率k=4というよりは酸化ハフニウムについての誘電率k=25)を呼ぶ。適切な仕事関数設定金属は、窒化チタン(TiN)および窒化タンタル(TaN)などのn型仕事関数設定金属、ならびにタングステン(W)などのp型仕事関数設定金属を含むが、これらに限定されない。適切な充填金属は、アルミニウム(Al)を含むが、これに限定されない。ゲート・スタック材料は、一般に、層902により図に一般的に表示される。
図10に示したように、ゲート・スタック材料902を、(CMPなどの)化学的または機械的あるいはその両方の研磨プロセスを使用して研磨することができる。結果は、ゲート・トレンチ内に形成されている別個のゲート・スタック1002である。
自己整合コンタクトを、ゲート・スタック1002の各々の反対側のゲート側壁スペーサ104a同士の間の領域に形成しよう。自己整合コンタクトの各々の対は、上記コンタクトの対同士の間にある特定のゲート・スタック1002と関係するだろう。例えば、自己整合コンタクトがゲート・スタック1002の各々の反対側のソースおよびドレイン領域に形成される状況を取り上げる。そのケースでは、ゲート側壁スペーサ104a同士の間の隣接する領域の自己整合コンタクト/ゲート・スタック/自己整合コンタクトの組み合わせは、共通トランジスタに対応するだろう。この構成を実現するために、1つおきのゲート・スタック1002を選択的に除去する。図11および図12参照。
図11に示したように、選択ゲート・スタック1002の除去を、除去しようとするゲート・スタックを除いてすべてを覆っている選択マスク1102(例えば、SiNハードマスク)を使用して実現することができる。マスク1102を形成するために、標準的なリソグラフィおよびエッチング技術を使用することができる。マスク1102を通したエッチングを次いで、露出したゲート・スタックを除去するために実行することができる。図12参照。使用する特定のエッチング薬品は、ゲート・スタック材料に応じて変わることがあり、ゲート金属、ゲート誘電体、等を完全に除去するために、多数のエッチング・ステップが必要な場合がある。
ゲート・スタックが除去されているゲート側壁スペーサ104a同士の間の領域を次いで、SiNなどの絶縁体1302で埋めることができる。図13参照。図13に示したように、(例えば、CMPを使用する)研磨を次いで、マスク1102およびすべての余分な絶縁体1302を除去するために実行することができる。
今ではゲート側壁スペーサが形成されており(プロセスの第1段階)そしてRMGが形成されている(プロセスの第2段階)ので、自己整合コンタクトを形成するために、プロセスの第3段階をここで実行する。図14および図15参照。上記で強調したように、ゲート・スタック1002の各々の対向する側面上のゲート側壁スペーサ104a同士の間の領域に、コンタクトを形成しよう。このように、コンタクトは、ゲート・スタック1002に対して自己整合するだろう。
コンタクト形成プロセスを始めるために、誘電体602を、金属ゲート・スタック1002に対して選択的に最初に除去する。図14参照。単に例として、誘電体602がSiOなどの酸化物であるときには、酸化物選択性エッチング・プロセスを利用することができる。誘電体602を除去することによって、複数のコンタクト・トレンチがゲート・スタック1002の間に形成される。図14参照。コンタクト1502を次いで、コンタクト・トレンチ内に形成する。例示的な実施形態によれば、コンタクト1502を、トレンチ・シリサイドからコンタクト・トレンチ内に形成する。単に例として、1つまたは複数のシリサイド金属を、コンタクト・トレンチ内へと堆積する。シリサイド金属が(例えば、基板102のソースおよびドレイン領域内の)シリコンと接触している場合にはいつでもシリサイドが形成されるだろう。適切なシリサイド金属は、(例えば、ニッケル・シリサイド(NiSi)を形成する)ニッケル(Ni)を含むが、これに限定されない。(例えば、約摂氏500度(℃)から約800℃までの、およびこれらの間の範囲の温度での)アニールを次いで、コンタクト・トレンチ内にトレンチ・シリサイド(すなわち、コンタクト1502)を形成するために、シリサイド金属を基板102と反応させるために使用する。その後で、すべての未反応のシリサイド金属を除去することができる。
上記の通り、複合スペーサSITの使用は、スペーサの最上部角のところの丸まりを防止し、これによりスペーサが角張った肩を持って形成されることを可能にする。この概念は、図16にさらに図示されており、図16は、左に複合スペーサおよび右に単一スペーサの横並びの例を示している。複合スペーサのケースでは、外側のスペーサだけが何らかの丸まりを経験する。内側のスペーサは、角張った肩を都合よく持っている。比較により、単一スペーサでは、丸まりが上部の角のところに存在する。最終スペーサにおけるこの丸まりは、デバイス幅のバラツキを不必要に生じさせることがある。
本発明の例示の実施形態を、本明細書において説明してきているとは言え、発明がこれらの精細な実施形態に限定されないこと、および様々な他の変更や修正を発明の範囲から逸脱せずに当業者なら行うことができることを理解されたい。

Claims (21)

  1. 自己整合コンタクトを形成する方法であって、
    基板の上に多数のゲート側壁スペーサを形成するステップと、
    誘電体の中に前記ゲート側壁スペーサを埋め込むステップと、
    ゲートが形成される前記ゲート側壁スペーサ同士の間の領域から前記誘電体を選択的に除去することによりゲート・トレンチを形成するステップと、
    前記ゲート・トレンチ内に前記ゲートを形成するステップと、
    前記自己整合コンタクトが形成される前記ゲート側壁スペーサ同士の間の領域から前記誘電体を選択的に除去することによりコンタクト・トレンチを形成するステップと、
    前記コンタクト・トレンチ内に前記自己整合コンタクトを形成するステップと
    を含む、方法。
  2. 前記基板の上にスペーサ材料層を形成するステップと、
    前記基板の上に前記ゲート側壁スペーサを形成するために前記スペーサ材料層をパターニングするステップと
    をさらに含む、請求項1に記載の方法。
  3. 側壁イメージ転写(SIT)が、前記基板の上に前記ゲート側壁スペーサを形成するため前記スペーサ材料層をパターニングするのに使用される、請求項2に記載の方法。
  4. 前記スペーサ材料層の上にマンドレルを形成するステップと、
    前記マンドレルの対向する側面の上に複合スペーサを形成するステップであって、前記複合スペーサがi)前記マンドレルの対向する側面上の第1のスペーサとii)前記マンドレルとは反対の前記第1のスペーサの側面上の第2のスペーサとを含む、複合スペーサを形成するステップと
    をさらに含む、請求項3に記載の方法。
  5. 前記複合スペーサに対して選択的に前記マンドレルを除去するステップと、
    前記スペーサ材料層をパターニングするのに前記複合スペーサを使用するステップと、
    前記第2のスペーサを選択的に除去するステップと、
    前記スペーサ材料層をパターニングするのに前記第1のスペーサを使用するステップと
    をさらに含む、請求項4に記載の方法。
  6. ゲートが形成される前記ゲート側壁スペーサ同士の間の前記領域から前記誘電体を選択的に除去する前に、前記自己整合コンタクトが形成される前記ゲート側壁スペーサ同士の間の前記領域を覆うマスクを形成するステップ
    をさらに含む、請求項1に記載の方法。
  7. 前記ゲートが、置換金属ゲートを含み、前記ゲート・トレンチ内に前記ゲートを形成する前記ステップが、前記ゲート・トレンチの中へとゲート誘電体を堆積するステップと、
    前記ゲート誘電体の上に仕事関数設定金属を堆積するステップと、
    前記仕事関数設定金属の上に充填金属を堆積するステップと
    を含む、請求項1に記載の方法。
  8. 前記ゲート誘電体が、酸化ハフニウムおよび酸化ランタンからなる群から選択されるhigh−k材料を含む、請求項7に記載の方法。
  9. 前記仕事関数設定金属が、窒化チタン、窒化タンタル、およびタングステンからなる群から選択される、請求項7に記載の方法。
  10. 前記充填金属がアルミニウムを含む、請求項7に記載の方法。
  11. 前記自己整合コンタクトがトレンチ・シリサイドを含む、請求項1に記載の方法。
  12. 前記トレンチ・シリサイドがニッケル・シリサイドを含む、請求項11に記載の方法。
  13. 前記ゲートのうちの少なくとも1つを選択的に除去するステップ
    をさらに含む、請求項1に記載の方法。
  14. 前記ゲートのうちで1つおきに選択的に除去するステップ
    をさらに含む、請求項13に記載の方法。
  15. 選択的に除去される前記ゲートを除いてすべてをマスキングするステップ
    をさらに含む、請求項13に記載の方法。
  16. 前記ゲートのうちの少なくとも1つが選択的に除去されている前記ゲート・トレンチを絶縁体で埋めるステップ
    をさらに含む、請求項13に記載の方法。
  17. 前記絶縁体が窒化物材料を含む、請求項16に記載の方法。
  18. 基板上の多数のゲート側壁スペーサと、
    ゲートおよび前記ゲート側壁スペーサ同士の間の領域内の前記ゲートに自己整合したコンタクトであって、前記ゲートの各々が金属ゲートを含み、前記コンタクトの各々がトレンチ・シリサイドを含む、ゲートおよびコンタクトと
    を備える、デバイス構造体。
  19. 前記ゲート側壁スペーサ同士の間の前記領域のうちの少なくとも1つが、絶縁体を含む、請求項18に記載のデバイス構造体。
  20. 前記金属ゲートが、
    ゲート誘電体と、
    前記ゲート誘電体上の仕事関数設定金属と、
    前記仕事関数設定金属上の充填金属と
    を含む、請求項18に記載のデバイス構造体。
  21. 前記ゲート側壁スペーサが、窒化ケイ素、炭窒化ケイ素、ホウ炭窒化ケイ素、酸炭窒化ケイ素、およびこれらの組み合わせからなる群から選択される材料を含む、請求項18に記載のデバイス構造体。
JP2020502130A 2017-07-20 2018-07-16 自己整合コンタクトを形成する方法およびデバイス構造体 Active JP7157134B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/655,547 US10186599B1 (en) 2017-07-20 2017-07-20 Forming self-aligned contact with spacer first
US15/655,547 2017-07-20
PCT/IB2018/055243 WO2019016672A1 (en) 2017-07-20 2018-07-16 FORMATION OF SELF-ALIGNED CONTACTS

Publications (2)

Publication Number Publication Date
JP2020528215A true JP2020528215A (ja) 2020-09-17
JP7157134B2 JP7157134B2 (ja) 2022-10-19

Family

ID=65011580

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020502130A Active JP7157134B2 (ja) 2017-07-20 2018-07-16 自己整合コンタクトを形成する方法およびデバイス構造体

Country Status (6)

Country Link
US (1) US10186599B1 (ja)
JP (1) JP7157134B2 (ja)
CN (1) CN110892523B (ja)
DE (1) DE112018002948B4 (ja)
GB (1) GB2579487B (ja)
WO (1) WO2019016672A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111524795B (zh) * 2019-02-03 2024-02-27 中芯国际集成电路制造(上海)有限公司 自对准双重图形化方法及其形成的半导体结构

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001267561A (ja) * 2000-03-21 2001-09-28 Sony Corp 半導体装置の製造方法及び半導体装置
JP2001291867A (ja) * 2000-03-09 2001-10-19 Samsung Electronics Co Ltd ダマシンゲート工程で自己整合コンタクトパッド形成方法
US20020068394A1 (en) * 2000-12-04 2002-06-06 Nobuaki Tokushige Semiconductor device and fabrication process therefor
JP2004152790A (ja) * 2002-10-28 2004-05-27 Toshiba Corp 半導体装置、及び、半導体装置の製造方法
JP2009130035A (ja) * 2007-11-21 2009-06-11 Toshiba Corp 半導体装置の製造方法
US9520482B1 (en) * 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US20160365424A1 (en) * 2015-06-15 2016-12-15 International Business Machines Corporation Self-aligned trench silicide process for preventing gate contact to silicide shorts

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6306714B1 (en) 2000-11-16 2001-10-23 Chartered Semiconductor Manufacturing Inc. Method to form an elevated S/D CMOS device by contacting S/D through the contact of oxide
JP4661086B2 (ja) * 2004-05-24 2011-03-30 パナソニック株式会社 不揮発性記憶装置および不揮発性メモリの消去方法と書込み方法
US7598142B2 (en) * 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US20120119302A1 (en) 2010-11-11 2012-05-17 International Business Machines Corporation Trench Silicide Contact With Low Interface Resistance
US8440533B2 (en) 2011-03-04 2013-05-14 Globalfoundries Singapore Pte. Ltd. Self-aligned contact for replacement metal gate and silicide last processes
US8637359B2 (en) 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
US8716124B2 (en) 2011-11-14 2014-05-06 Advanced Micro Devices Trench silicide and gate open with local interconnect with replacement gate process
US20130187236A1 (en) 2012-01-20 2013-07-25 Globalfoundries Inc. Methods of Forming Replacement Gate Structures for Semiconductor Devices
US9059096B2 (en) 2012-01-23 2015-06-16 International Business Machines Corporation Method to form silicide contact in trenches
US9177820B2 (en) 2012-10-24 2015-11-03 Globalfoundries U.S. 2 Llc Sub-lithographic semiconductor structures with non-constant pitch
US8975712B2 (en) 2013-05-14 2015-03-10 Globalfoundries Inc. Densely packed standard cells for integrated circuit products, and methods of making same
US9515163B2 (en) 2013-09-09 2016-12-06 Globalfoundries Inc. Methods of forming FinFET semiconductor devices with self-aligned contact elements using a replacement gate process and the resulting devices
US9484264B1 (en) * 2015-07-29 2016-11-01 International Business Machines Corporation Field effect transistor contacts
US9530890B1 (en) 2015-11-02 2016-12-27 International Business Machines Corporation Parasitic capacitance reduction
US9728505B2 (en) * 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and structrues of novel contact feature
US9570397B1 (en) * 2015-12-10 2017-02-14 International Business Machines Corporation Local interconnect structure including non-eroded contact via trenches
TWI692872B (zh) 2016-01-05 2020-05-01 聯華電子股份有限公司 半導體元件及其形成方法
CN106952908B (zh) 2016-01-06 2020-05-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其制造方法

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001291867A (ja) * 2000-03-09 2001-10-19 Samsung Electronics Co Ltd ダマシンゲート工程で自己整合コンタクトパッド形成方法
CN1319881A (zh) * 2000-03-09 2001-10-31 三星电子株式会社 在金属镶嵌栅极工艺中形成自对准接触焊盘的方法
US20010055842A1 (en) * 2000-03-09 2001-12-27 Hyung-Soo Uh Methods of forming self-aligned contact pads using a damascene gate process
JP2001267561A (ja) * 2000-03-21 2001-09-28 Sony Corp 半導体装置の製造方法及び半導体装置
US20020068394A1 (en) * 2000-12-04 2002-06-06 Nobuaki Tokushige Semiconductor device and fabrication process therefor
KR20020043444A (ko) * 2000-12-04 2002-06-10 마찌다 가쯔히꼬 반도체 장치 및 그의 제조 방법
JP2002170953A (ja) * 2000-12-04 2002-06-14 Sharp Corp 半導体装置及びその製造方法
JP2004152790A (ja) * 2002-10-28 2004-05-27 Toshiba Corp 半導体装置、及び、半導体装置の製造方法
JP2009130035A (ja) * 2007-11-21 2009-06-11 Toshiba Corp 半導体装置の製造方法
US20160365424A1 (en) * 2015-06-15 2016-12-15 International Business Machines Corporation Self-aligned trench silicide process for preventing gate contact to silicide shorts
US9520482B1 (en) * 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate

Also Published As

Publication number Publication date
DE112018002948B4 (de) 2023-01-12
CN110892523A (zh) 2020-03-17
WO2019016672A1 (en) 2019-01-24
US20190027580A1 (en) 2019-01-24
DE112018002948T5 (de) 2020-04-02
GB2579487A (en) 2020-06-24
US10186599B1 (en) 2019-01-22
CN110892523B (zh) 2024-01-05
JP7157134B2 (ja) 2022-10-19
GB2579487B (en) 2021-12-15
GB202001682D0 (en) 2020-03-25

Similar Documents

Publication Publication Date Title
US10158020B2 (en) Method of forming a semiconductor device with multiple etch stop layers and inter-layer dielectrics
KR102123920B1 (ko) 반도체 디바이스 및 제조 방법
CN107689376B (zh) 半导体器件和方法
US9673100B2 (en) Semiconductor device having contact plug in two dielectric layers and two etch stop layers
US11315922B2 (en) Fin cut to prevent replacement gate collapse on STI
US20170040318A1 (en) Semiconductor device and method for fabricating the same
TW201822263A (zh) 半導體元件及其製作方法
CN106158617A (zh) 半导体器件及其制造方法
US11335681B2 (en) Fin-type field effect transistor structure and manufacturing method thereof
KR102277762B1 (ko) 반도체 디바이스 및 제조 방법
TWI715218B (zh) 半導體元件及其製造方法
CN109860275A (zh) 半导体器件及其制造方法
TWI290370B (en) Multiple gate field effect transistor structure
TW201203384A (en) Self-aligned contacts for field effect transistor devices
JP7157134B2 (ja) 自己整合コンタクトを形成する方法およびデバイス構造体
US11935937B2 (en) Nanosheet field-effect transistor device and method of forming
US11282967B2 (en) Nanostructure field-effect transistor device and method of forming
KR20210002329A (ko) 반도체 디바이스 및 제조 방법
US20230386921A1 (en) Semiconductor device and method of manufacture
CN108573850B (zh) 一种半导体器件的制造方法
CN107785259B (zh) 一种半导体器件及制备方法、电子装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201222

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211221

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220104

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20220502

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220516

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220927

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221006

R150 Certificate of patent or registration of utility model

Ref document number: 7157134

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150