CN1257609A - 制造平面沟槽的方法 - Google Patents

制造平面沟槽的方法 Download PDF

Info

Publication number
CN1257609A
CN1257609A CN98805442A CN98805442A CN1257609A CN 1257609 A CN1257609 A CN 1257609A CN 98805442 A CN98805442 A CN 98805442A CN 98805442 A CN98805442 A CN 98805442A CN 1257609 A CN1257609 A CN 1257609A
Authority
CN
China
Prior art keywords
groove
oxidation
dielectric film
layer
polysilicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN98805442A
Other languages
English (en)
Other versions
CN1110848C (zh
Inventor
A·K·S·瑟德贝里
N·O·厄格伦
E·H·舍丁
O·M·扎克里森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Telefonaktiebolaget LM Ericsson AB
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Telefonaktiebolaget LM Ericsson AB filed Critical Telefonaktiebolaget LM Ericsson AB
Publication of CN1257609A publication Critical patent/CN1257609A/zh
Application granted granted Critical
Publication of CN1110848C publication Critical patent/CN1110848C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76202Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/763Polycrystalline semiconductor regions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Element Separation (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

改善沟槽结构上形貌的方法,在沟槽边缘区域中提供例如多晶硅20过量多晶半导体材料或氮化物或氧化物,如果需要,过量材料的随后氧化防止产生高机械应力区。

Description

制造平面沟槽的方法
本发明涉及半导体产品中具有基本平面表面的沟槽。
为了隔离集成电路中各元件,已开发出了再填充的沟槽结构。形成这种沟槽有许多种不同方法。在Wolf,S.的“Silicon Processing fortheVLSI Era Volume II”(ISBN-0-961672-4-5,1990,Lattice PressUSA,第45-56页)中,记载了制造填充沟槽最普通的方法。主要步骤是在硅衬底中腐蚀沟槽,包围晶片上将被隔离的每个元件。然后,在沟槽中和硅衬底上淀积隔离氧化层,将元件与周围隔开。然后,通过在整个晶片上淀积厚度足以填充所有沟槽结构的多晶硅填充沟槽。这样多晶硅还淀积于沟槽间硅衬底的平面表面上的氧化层上。然后,腐蚀掉多晶硅,从而露出平面表面上的氧化层。由于这次腐蚀,沟槽上的多晶硅也被去掉一些。这样留下了被沟槽的隔离多晶硅包围的硅岛形式的元件。为了形成连续的元件层,需要集成电路的每个连续层形成在基本平面的表面上。然而,实际上,从沟槽上去掉一些多晶硅留下了向下垂直的台阶。沟槽的氧化物壁一般具有向着沟槽内向下倾斜的倾斜上部。这样一来,基本平面的多晶硅填充在沟槽中的多晶硅厚度随着其到达沟槽壁而减薄。然后,氧化多晶硅,在沟槽上形成隔离氧化物覆盖。该氧化期间,靠近只有薄多晶硅覆盖的沟槽边缘的区域中,硅衬底也可能被氧化。于是在这些区域中产生高机械应力。随后处理常采用湿法腐蚀去掉热产生的氧化物。氧化物的湿法腐蚀速度很大程度上取决于氧化物中的机械应力。这意味着高机械应力区中氧化物腐蚀得比表面其余部分深,造成沿沟槽的边缘形成槽。进一步处理期间,这些槽中可能会填入导电材料,深度到达此后去除不需要导电材料的处理无法去除的地方,多余的导电材料细条残留在槽中。特别是,如果这些细条高到与沟槽上的导体接触,则这些细条会引起例如短路等问题。
本发明的目的是形成一种比先前沟槽表面更平的沟槽表面。本发明另一目的是提供一种消除沿沟槽边缘残留槽中的多余导电材料细条的方法。
根据本发明,通过沿沟槽边缘提供过量的沟槽材料,防止沿沟槽边缘产生槽,从而实现本发明的目的。在硅基工艺的情况下,通过在沟槽填充材料上淀积多晶硅层、氧化物层、氮化物层等来这样做,所淀积的材料然后将通过各向异性腐蚀即通过在垂直方向比在水平方向腐蚀显著快的腐蚀工艺被深腐蚀。于是沿沟槽边缘留下过量的材料。这个工艺可以在沟槽内的多晶硅上生长氧化层之前或之后进行。在例如氧化物或氮化物等不可氧化材料的情况下,腐蚀后过量材料的厚度应基本与向下垂直台阶的高度相同。在多晶硅的情况下,所淀积的多晶硅厚度优选选择为,在随后氧化期间氧化所有过量多晶硅时,得到的氧化层具有与该台阶高度基本相同的高度。沿沟槽边缘的氧化物、氮化物或多晶硅细条形式的过量材料可以防止氧化其它情况下会被氧化且产生高机械应力区域的底层硅。在不存在高机械应力区的情况下,随后的湿法腐蚀将进行得更均匀,可以避免沟槽边缘产生不想要的槽。通过利用与填充沟槽用材料相同类型的材料作过量材料,氧化后沟槽产生较小机械应力。
靠近沟槽边缘的过量厚度多晶硅材料的氧化还在沟槽壁附近提供了更厚的氧化层。通过选择过量多晶硅细条的正确尺寸,可以在沟槽边缘形成基本上与周围氧化层相同厚度的氧化层,以此方式得到更平的表面。合适地选择淀积温度,可以调节所淀积硅的晶粒尺寸,即在580℃淀积产生非晶硅,而600℃淀积产生微晶硅,在620℃淀积则产生多晶硅。非晶硅氧化比微晶硅更快,微晶硅氧化比多晶硅快。因此,可以通过调节过量材料的淀积温度,调节沟槽材料和过量材料的相对氧化速率,从而形成要求的沟槽截面形状。
根据本发明形成的沟槽具有以下几个优点。一个显著的优点是,沟槽上的表面不再具有垂直台阶,减小了不需要材料留在沟槽中以后引起问题的危险。另一优点是根据本发明的方法淀积氧化物或氮化物或淀积多晶硅并深腐蚀后,可以得到更均匀且平的表面。再一优点是沟槽中的机械应力减小。
下面以根据本发明形成的沟槽结构实施例为例并结合各附图详细介绍本发明,各附图中:
图1a-1h是展示根据现有技术方法形成沟槽的各阶段的剖面图;
图2a-2i是展示根据本发明一个实施例形成沟槽的各阶段的剖面图。
图1a展示了形成沟槽的已知方法的第一阶段。已在具有平面表面3的硅晶片衬底2中腐蚀出沟槽1。在沟槽1的腐蚀期间,用平面表面上的例如二氧化硅或氮化硅或它们的组合的隔离层4作掩模。
图1b中,已在沟槽1和第一隔离氧化层4上生长或淀积了例如二氧化硅或氮化硅或它们的组合的第二隔离层9。也可以在从平面表面3上去掉第一隔离4后淀积隔离层9。图1c中,已在基本全部硅衬底2上和沟槽1中淀积了厚度足以过填充沟槽1的多晶硅层6。在沟槽1上存在下沉或垂直向下的台阶8’。
图1d中,已腐蚀掉了多晶硅层6,以露出硅衬底2的基本平面表面上的第二绝缘层9。第二绝缘层6耐腐蚀。于是留下由具有隔离氧化物壁9和多晶硅芯6的沟槽1隔离的岛状硅衬底2。在从晶片表面上腐蚀掉多晶硅层6露出第二绝缘层6时,向下的垂直台阶8留在沟槽1上。这是由于多晶硅层6的过腐蚀引起的。需要这种过腐蚀确保去除平面表面3上的所有多晶硅。
然后,氧化残留在沟槽1中的多晶硅6的表面,在沟槽上形成隔离氧化物覆盖10,如图1e所示。在沟槽1的氧化物壁具有向着沟槽内向下倾斜的倾斜上部的区域12中,硅衬底2只具有薄多晶硅覆盖6。氧化工艺期间,也可以氧化该硅衬底2,尤其是在氧化步骤前覆盖氧化物薄的区域。这在靠近这些区的区域12和氧化物9、10中产生高机械应力。
随后的处理常采用湿法腐蚀去掉热形成的氧化物,从而减薄或均匀地整个去掉平面表面3上的隔离层9。在隔离层4仍存在的情况下,还可想到,至少还可以局部减薄。氧化物湿法腐蚀速度很大程度上取决于氧化物中的机械应力。这意味着高机械应力的区域12中氧化物腐蚀得比其余表面深。如图1f所示,这可能会引起沿沟槽1的边缘形成不规则槽14。
包括淀积导电材料16的随后处理期间,这些槽14中将填充导电材料16,如图1g所示。去掉不想要导电材料16的后续处理的时间可能不足以去掉槽14底部的所有导电材料16,多余导电材料16的细条18会留在槽中,如图1h所示。特别是,如果这些细条高到使它们与沟槽上的导体接触,这些细条18会引起随后处理中发生短路的问题。
根据形成平沟槽的本发明方法的一个实施例,如图2a-2d所示,按常规方式,例如结合图1a-1d所介绍的,在衬底中腐蚀沟槽。为作为实例,以利用硅衬底、氧化硅作绝缘材料和多晶硅作填充材料的实施例例示本发明。也可以利用其它半导体例如碳化硅或其它3族或5族材料或其它合适的材料作衬底,绝缘材料可以是任何合适的化合物,例如氧化物、氮化物等或它们的组合。另外,沟槽填充材料不限于多晶硅,例如可以是非晶硅、微晶硅或结晶硅化合物。在以除硅外的材料为基础的衬底上形成沟槽结构时,当然也以采用具有合适性质的其它填充材料。
图2e中,可以看出,利用任何合适的方法,沿沟槽的边缘布设了与用来填充沟槽的材料相同类型的过量接痕20,这种情况下填充材料为多晶硅。这种方法的一个实例是,首先在整个晶片上淀积例如厚0.3-0.8Tm的多晶硅膜21。该膜21还直接淀积在沟槽1中的多晶硅6上和向下的垂直台阶8的侧面上,从而淀积膜21后,使垂直台阶8彼此靠近2t。该膜21的厚度t取决于沟槽的向下垂直台阶的高度h。该膜21由图2e中的虚线表示。然后,利用先在垂直方向腐蚀的各向异性腐蚀深腐蚀膜21距离t。于是露出平面表面上的氧化层4和/或9,和沟槽中心的多晶硅,但沿膜21的垂直厚度最大的沟槽边缘留下了过量的多晶硅接痕20。
在本发明的优选实施例中,计算膜21的厚度t和各向异性腐蚀的时间,得到过量接痕20的厚度d,从而接痕20中的多晶硅氧化后,得到的氧化层的厚度基本上等于覆盖硅表面3的绝缘氧化层厚度。现在多晶硅6、20的形貌为不存在只有薄多晶硅覆盖的区域。然后,按常规方式氧化晶片,在沟槽1上由露出的多晶硅6、20形成隔离氧化物覆盖22,如图2f所示。由于存在更多的多晶硅材料可用于区域12中氧化,所以区域12中,硅衬底不被氧化,不产生高机械应力区。氧化前多晶硅层的厚度越均匀,将会形成越均匀的氧化层。通过改变多晶硅过量接痕20的形状和尺寸,可以形成基本上平坦且与周围衬底的露出表面共面的氧化层,另外,合适地选择淀积温度,可以调节所淀积硅的晶粒尺寸,即在580℃淀积时形成非晶硅,在600℃淀积时形成微晶硅,在620℃淀积时形成多晶硅。非晶硅的氧化比微晶硅快,微晶硅的氧化比多晶硅快。因此,可以通过调节过量材料的淀积温度,调节沟槽材料和过量材料的相对氧化速率,从而形成要求沟槽截面形状。
如图2g所示,由于不存在高机械应力区,所以热氧化物的湿法深腐蚀期间不形成槽。
如图2h和2i所示,导电材料16的任何随后填充都具有更均匀的深度,可以进行导电材料16的去除,同时不会留下不希望的导电材料细条。
根据本发明方法的第二实施例,利用结合图2a-2d介绍的工艺形成沟槽。然后,在过量的材料接痕20沿沟槽的边缘布设前,氧化沟槽中多晶硅6,形成氧化硅层。这层氧化硅层用作进一步处理的停止层,防止沟槽中底层多晶硅6在随后处理阶段中被腐蚀或氧化。多晶硅优选在800-900℃范围的较低温度下氧化。
本发明的第三实施例中,在用多晶硅填充沟槽和随后的多晶硅深腐蚀步骤后,代替多晶硅,在包括沟槽壁的整个晶片上再淀积一层氧化物。该另一层的深度取决于沟槽垂直台阶的高度,和如以下将介绍的接痕所需要的高度。然后,利用主要在垂直方向腐蚀的各向异性腐蚀深腐蚀该氧化层到先前的氧化层,于是如上述实施例所述,沿沟槽边缘留下过量的材料接痕。过量接痕的厚度(和所淀积氧化层的厚度)选择为使沿沟槽边缘残留的氧化层厚(高度)基本上等于原绝缘氧化层的厚度,沟槽壁彼此相向位移足以覆盖具有薄多晶硅覆盖的沟槽边缘的任何区域的量。如果每个过量接痕的厚度都大于最大沟槽宽度的一半,则这些接痕将完全填充沟槽。各向异性深腐蚀后,将形成基本上与周围露出平面表面共平面的沟槽表面。这些过量接痕氧化物在随后的晶片处理中不会被氧化,因此,防止了沟槽边缘附近产生高机械应力。
在本发明的第四实施例中,用另一氮化层代替本发明第三实施例中所述的另一氧化层。按第三实施例类似的方式,该氮化层淀积在晶片上,并且随后将被深腐蚀。
在本发明所有实*施例中,隔离层都可由任何合适的绝缘材料构成,包括例如氧化物、氮化物或衬底材料等。
根据本发明的方法优选在衬底上形成了有源元件后,且它们通过覆盖了抗腐蚀和抗氧化材料受到保护不被腐蚀和氧化后进行。

Claims (11)

1.在具有平面表面(3)的半导体材料衬底(2)中形成沟槽的方法,包括以下步骤:
利用掩模(4)掩蔽衬底(2)的平面表面(3)中要求的沟槽(1)位置,
在所说平面表面(3)中腐蚀要求深度的沟槽(1),
处理某些或全部衬底(2)的露出表面,形成第一绝缘层(9),
在第一绝缘层(9)上淀积第二绝缘材料层(6),其中所说第二绝缘材料层(6)的厚度等于或大于沟槽(1)的宽度,
深腐蚀第二绝缘材料层(6),直到平面表面(3)上的第一绝缘层(9)露出,但所说沟槽(1)仍包含所说第二缘缘材料层(6),
由此在沟槽(1)上形成基本垂直向下的台阶(8)的高度,其特征在于以下步骤:
在所说晶片(2)和所说沟槽(1)中所说第二层(6)的露出表面上淀积与所说绝缘材料(6)相同类型的绝缘膜(21);
各向异性深腐蚀该绝缘膜(21),以便残留在沟槽(1)边缘的区域中所说沟槽<1>中所说第二绝缘材料层(6)上的绝缘膜(21)的深度d小于或基本上等于台阶(8)的高度。
2.根据权利要求1的方法,其特征在于,包括以下步骤,在所说晶片(2)和沟槽(1)中所说第二层(6)的露出表面上淀积与所说绝缘材料(6)相同类型材料的绝缘膜(21)之前,氧化沟槽(1)中的所说第二层。
3.根据权利要求1或2的方法,其特征在于,半导体材料(2)选自元素周期表的3族或5族。
4.根据前述权利要求任何一个的方法,其特征在于,半导体材料(2)包括硅。
5.根据前述权利要求任何一个的方法,其特征在于,绝缘膜(21)和第二缘缘材料层(6)包括多晶半导体材料、非晶半导体材料、微晶半导体材料、或一种或多种结晶半导体材料化合物。
6.根据前述权利要求任何一个的方法,其特征在于,第一绝缘层(9)是半导体材料的氧化物。
7.根据前述权利要求任何一个的方法,其特征在于,掩模(4)是保护底层表面不被腐蚀和氧化的半导体材料氧化物。
8.根据前述权利要求任何一个的方法,其特征在于,还包括氧化已深腐蚀的绝缘膜(21)的步骤。
9.根据权利要求8的方法,其特征在于,使氧化前绝缘膜(21)的厚度合适,以便完成氧化后,得到的氧化层(22)基本上与露出的平面表面(3)共平面。
10.根据前述权利要求任何一个的方法,其特征在于,利用比所说第二绝缘材料(6)的结构更快氧化的结构淀积所说绝缘膜(21)。
11.半导体衬底中的沟槽,其特征在于,利用权利要求1-10中任何一项的方法形成。
CN98805442A 1997-03-26 1998-03-23 在半导体衬底中制造平面绝缘沟槽的方法 Expired - Fee Related CN1110848C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
SE97011548 1997-03-26
SE9701154A SE520115C2 (sv) 1997-03-26 1997-03-26 Diken med plan ovansida

Publications (2)

Publication Number Publication Date
CN1257609A true CN1257609A (zh) 2000-06-21
CN1110848C CN1110848C (zh) 2003-06-04

Family

ID=20406360

Family Applications (1)

Application Number Title Priority Date Filing Date
CN98805442A Expired - Fee Related CN1110848C (zh) 1997-03-26 1998-03-23 在半导体衬底中制造平面绝缘沟槽的方法

Country Status (9)

Country Link
EP (1) EP1018156A1 (zh)
JP (1) JP2001519097A (zh)
KR (1) KR100374455B1 (zh)
CN (1) CN1110848C (zh)
AU (1) AU6753998A (zh)
CA (1) CA2285627A1 (zh)
SE (1) SE520115C2 (zh)
TW (1) TW356579B (zh)
WO (1) WO1998043293A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1314099C (zh) * 2002-01-04 2007-05-02 印芬龙科技股份有限公司 填充隔离槽的双后撤方法
CN1332434C (zh) * 2001-05-23 2007-08-15 国际商业机器公司 氮氧化合物浅沟槽隔离及其形成方法
CN103822735A (zh) * 2012-11-16 2014-05-28 无锡华润上华半导体有限公司 一种压力传感器用晶片结构及该晶片结构的加工方法
CN107507773A (zh) * 2016-06-14 2017-12-22 格科微电子(上海)有限公司 优化cmos图像传感器晶体管结构的方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008028357A (ja) * 2006-07-24 2008-02-07 Hynix Semiconductor Inc 半導体素子及びその製造方法
JP4717122B2 (ja) * 2009-01-13 2011-07-06 三菱電機株式会社 薄膜太陽電池の製造方法
CN102468176B (zh) * 2010-11-19 2013-12-18 上海华虹Nec电子有限公司 超级结器件制造纵向区的方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2207281B (en) * 1987-07-24 1992-02-05 Plessey Co Plc A method of providing refilled trenches
US5175122A (en) * 1991-06-28 1992-12-29 Digital Equipment Corporation Planarization process for trench isolation in integrated circuit manufacture
US5561073A (en) * 1992-03-13 1996-10-01 Jerome; Rick C. Method of fabricating an isolation trench for analog bipolar devices in harsh environments
US5627092A (en) * 1994-09-26 1997-05-06 Siemens Aktiengesellschaft Deep trench dram process on SOI for low leakage DRAM cell
US5683945A (en) * 1996-05-16 1997-11-04 Siemens Aktiengesellschaft Uniform trench fill recess by means of isotropic etching

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1332434C (zh) * 2001-05-23 2007-08-15 国际商业机器公司 氮氧化合物浅沟槽隔离及其形成方法
CN1314099C (zh) * 2002-01-04 2007-05-02 印芬龙科技股份有限公司 填充隔离槽的双后撤方法
CN103822735A (zh) * 2012-11-16 2014-05-28 无锡华润上华半导体有限公司 一种压力传感器用晶片结构及该晶片结构的加工方法
CN107507773A (zh) * 2016-06-14 2017-12-22 格科微电子(上海)有限公司 优化cmos图像传感器晶体管结构的方法
CN107507773B (zh) * 2016-06-14 2021-09-17 格科微电子(上海)有限公司 优化cmos图像传感器晶体管结构的方法

Also Published As

Publication number Publication date
SE9701154D0 (sv) 1997-03-26
EP1018156A1 (en) 2000-07-12
JP2001519097A (ja) 2001-10-16
SE9701154L (sv) 1998-09-27
KR20010005591A (ko) 2001-01-15
KR100374455B1 (ko) 2003-03-04
TW356579B (en) 1999-04-21
AU6753998A (en) 1998-10-20
CA2285627A1 (en) 1998-10-01
WO1998043293A1 (en) 1998-10-01
SE520115C2 (sv) 2003-05-27
CN1110848C (zh) 2003-06-04

Similar Documents

Publication Publication Date Title
US6027998A (en) Method for fully planarized conductive line for a stack gate
JPH1079423A (ja) 半導体デバイスの製造方法
CN101064249A (zh) 改进浅沟槽隔离间隙填充工艺的方法
JPH0650759B2 (ja) 高度に平面化された集積回路構造を作るための方法
CN1226744A (zh) 半导体制造过程中非保形器件层的平面化
CN115206886A (zh) 半导体存储器元件及其制备方法
DE19860780A1 (de) Halbleitervorrichtung und Herstellungsverfahren einer Halbleitervorrichtung
CN1110848C (zh) 在半导体衬底中制造平面绝缘沟槽的方法
US6593241B1 (en) Method of planarizing a semiconductor device using a high density plasma system
US6649451B1 (en) Structure and method for wafer comprising dielectric and semiconductor
CN102543699B (zh) 一种金属栅极的形成方法
US6703318B1 (en) Method of planarizing a semiconductor die
US6008116A (en) Selective etching for improved dielectric interlayer planarization
WO2020132208A1 (en) 3d nand structures with decreased pitch
CN1110071C (zh) 平面化半导体基片的方法
CN1913122A (zh) 形成无空隙沟槽隔离层的方法
US6627492B2 (en) Methods of forming polished material and methods of forming isolation regions
US6063693A (en) Planar trenches
CN1280889C (zh) 在硅基底中形成绝缘膜的方法
US7214596B2 (en) Method for the fabrication of isolation structures
CN1233033C (zh) 减少隔离元件对于有源区域的应力与侵蚀效应的方法
CN1241248C (zh) 降低浅沟渠隔离侧壁氧化层应力的方法
EP0853814A1 (en) An efficient and economical method of planarization of multilevel metallization structures in integrated circuits using cmp
CN1242466C (zh) 降低浅沟渠隔离侧壁氧化层应力与侵蚀的方法
KR100347533B1 (ko) 반도체 소자의 금속 배선 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: INFINEON TECHNOLOGIES AG

Free format text: FORMER OWNER: ELLISON TELEPHONE CO., LTD.

Effective date: 20040827

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20040827

Address after: Munich, Germany

Patentee after: Infennian Technologies AG

Address before: Stockholm

Patentee before: Ericsson Telephone AB

REG Reference to a national code

Ref country code: HK

Ref legal event code: WD

Ref document number: 1028488

Country of ref document: HK

C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee