JPH1079423A - 半導体デバイスの製造方法 - Google Patents

半導体デバイスの製造方法

Info

Publication number
JPH1079423A
JPH1079423A JP9225570A JP22557097A JPH1079423A JP H1079423 A JPH1079423 A JP H1079423A JP 9225570 A JP9225570 A JP 9225570A JP 22557097 A JP22557097 A JP 22557097A JP H1079423 A JPH1079423 A JP H1079423A
Authority
JP
Japan
Prior art keywords
substrate
oxide
cvd
integrated circuit
sti
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP9225570A
Other languages
English (en)
Inventor
Peter Weigand
ヴァイガント ペーター
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24787292&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH1079423(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Siemens AG filed Critical Siemens AG
Publication of JPH1079423A publication Critical patent/JPH1079423A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • H01L21/76235Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls trench shape altered by a local oxidation of silicon process step, e.g. trench corner rounding by LOCOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76237Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials introducing impurities in trench side or bottom walls, e.g. for forming channel stoppers or alter isolation behavior

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)

Abstract

(57)【要約】 【課題】 ギャップなくSTIトレンチに充填し、か
つ、複雑な処理工程又はエッチストップの付加を必要と
しない改良された半導体デバイスの製造方法を提供す
る。 【解決手段】 基板上に製造されたデバイス構造間にア
イソレーションを形成する工程を含む半導体デバイスを
製造する方法において、基板の上に活性領域及び不活性
領域を形成し、不活性領域内にアイソレーショントレン
チを形成し、高密度プラズマ強化化学気相成長(HDP
−CVD)によって基板上に絶縁材料の層を形成し、そ
の際HDP−CVD層は有効に実質的に如何なるギャッ
プを有せずにシャロートレンチを充填し、かつ前記基板
の表面を平坦化して活性領域を露出させる一方、アイソ
レーション領域内の絶縁材料の腐食を効果的に減少させ
て、実質的に均一なトポグラフィーを形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明の技術分野は、一般的
には半導体製造方法における改良、より詳細にはシャロ
ー(浅い)トレンチアイソレーション領域をギャップな
しで充填する方法及び化学機械的ポリシング法を簡単に
する平坦化法(planarization scheme)の使用に関す
る。
【0002】
【従来の技術】集積回路の寸法は縮小される一方である
ので、回路を構成する部品は典型的なチップで利用でき
る制限されたスペースに対応するために互いに接近して
配置されねばならない。一般的研究は半導体基板の単位
面積当たりの活性成分の高密度化に向けられているの
で、回路間の有効なアイソレーションが益々重要になっ
て来た。現代の集積回路技術における回路構成部品の通
常のアイソレーションは、半導体基板内にエッチングさ
れかつ二酸化ケイ素のような絶縁材料が充填されるシャ
ロートレンチの形を取る。これらの領域は、一般に当該
技術においてはシャロートレンチアイソレーション(S
TI)領域と称される。STIは、集積回路の活性領域
の分離を行い、かつ、所定の集積回路の活性領域は事実
上任意の寸法を有することができるので、該STI領域
は典型的には寸法が広い範囲内で変動する。
【0003】現代の集積回路の複雑なトポグラフィー
(topography)のために、特に広範囲に変動する幅を有
するシャロートレンチを使用する場合には、均一な酸化
物フィルを達成するする際にしばしば問題が生じる。こ
の問題に立ち向かうために、STIに絶縁材料を充填す
るため、及びそれにより生じた構造の、均一な平坦なト
ポグラフィーを得るための平坦化のための多数の方法が
開発された。STI充填法は、化学気相成長(CVD)
及びプラズマ強化化学気相成長(PECVD)を包含
し、これらは材料を蒸気として基板に搬送しかつその上
に析出させることができるという利点を有する。付加的
に、トレンチ内で直接的に酸化物層を成長させるスパッ
タリング技術又はサーマル技術(例えばシリコンの局所
的酸化[LOGOS])もSTI領域に充填するために
有効である。半導体基板の表面を平坦化するためには、
平坦化法、例えばレジストエッチバック(REB)法、
反応性イオンエッチング(RIE)法、及び化学機械的
ポリシング(CMP)法が単独で又は組み合わせて使用
される。
【0004】前記STI充填法の全ては、半導体基板に
おいて狭いトレンチ及び幅広いトレンチの両者の充填の
ために使用され成功を納めたが、不完全な充填条件に基
づきSTI領域の絶縁材料内に空隙が観察された。従っ
て、現代の集積回路処理法においてSTI領域のための
ギャップレス酸化物充填技術のための必要性が存在す
る。
【0005】ギャップレス酸化物トレンチ充填法に加え
て、生じる構造の平坦化を含む現代の集積回路処理にお
いてもう1つの問題が存在する。高度に平坦化された表
面トポグラフィーは、それにより付加的な集積回路構成
部品の取付けが可能になりかつより高いデバイス密度が
可能となるために望ましい。現代の集積回路構成、特に
比較的幅広いトレンチを有するデバイスの形成において
は、しばしば複雑化された平坦化法が必要とされる。こ
のことは通常のCVD法により析出された被覆材料は典
型的には凹所をその表面形状に従って被覆する、それに
より凹所の非平坦構造が複製されるという事実に起因す
る。このことはこれらの構造において最も明白となる酸
化物の腐食に基づく幅広いSTIの平坦化において問題
となる。
【0006】この問題を一層明確に例証するために、図
1〜3は、通常の酸化物析出及び平坦化法を利用した従
来の技術の集積回路構造の部分を示す。図1に示された
集積回路構造は半導体基板12を有し、該半導体基板は
有利にはシリコンウェーハであり、該ウェーハはその上
に析出された複数の活性及び不活性構成部品(図示せ
ず)を有していてもよい。集積回路構造10は、このよ
うな回路におい典型的に存在する広範に変動する活性及
び不活性領域に相応して、狭いSTI領域14と幅広い
STI領域の両者を有する。更に、基板10はその内部
表面に析出された絶縁酸化物層18を有する。典型的に
は、この酸化物層は、通常の熱CVD酸化技術により析
出されている。このような技術は、LPTEOS及びO
3/TEOSを包含する。通常の熱CVD酸化法は、均
一な厚さを有する酸化物を形成しない。その代わり、該
酸化物層は一般に基板のトポグラフィーに従う(即ち、
これは基板内のトレンチに相応する谷及び基板の表面上
に存在する任意の表面構造に相応する山を有する)。通
常の熱CVD酸化により析出された酸化物層18は、狭
いSTI領域14及び16内にギャップ(図示せず)を
形成することは公知になっている。
【0007】なお図1に関して説明すれば、酸化物層1
8の上にホトレジストパターン層20が析出されてい
る。このホトレジスト層20の目的は、引き続いてのエ
ッチング工程でこの平坦なトポグラフィーが酸化物トポ
グラフィーに移行せしめらるように、全体的及び局所的
に平坦なを表面を形成する(平坦化材料、例えばホトレ
ジストの二重又は多重の層の被覆後)ことである。
【0008】次に、図2には、通常のエッチバック法を
実施した後の図1の集積回路構造10が示されている。
ホトレジストも除去されており、この図面には示されて
いない。エッチバック法は、酸化物層18の一部を除去
し、狭いSTI14の上にはほぼ平坦な表面22を、但
し幅広いSTI16の上には平坦でないかつノンプレー
ナトポグラフィーを残す。
【0009】図3は、通常のCMP工程を実施した後
の、図2の集積回路構造10を示す。CMP工程は典型
的には、エッチストップとして窒化ケイ素層(シリコン
基板の表面上に存在するが、図示されていない)を使用
する。CMP工程は選択的に酸化物層18のみを除去
し、基板10は無傷で残す。しかしながら、通常のエッ
チング及びポリシング技術の問題は、エッチング速度を
制御することが困難なことである。長時間のCMP工程
は、例えば、特にSTIの最も幅広い部分において、酸
化物腐食を惹起することが知られている。図3から見て
取れるように、長時間のCMP平坦化工程を使用したS
TI平坦化中に、特に幅広いSTI16における、酸化
物の腐食は、多くの問題を有する。酸化物層18の表面
は平坦でなく、半導体基板12の表面の下に沈下してお
りかつ非平坦のトポグラフィーを生じる。この現象は、
特に幅広いSTI16内の酸化物層38の中央部28で
顕著である。
【0010】基板内に酸化物充填STI領域が形成され
た後に、高度に平坦化した集積回路構造を提供する問題
点を解決する試みは、多数の平坦化法を生じ、その若干
のものはむしろ精巧な処理工程を必要とする。このよう
な方法の1つは、J. E. Cronin et al. に対して発行さ
れかつInternational Business Machines Corporation
に譲渡された、発明の名称“PLANARIZED SEMICONDUCTOR
STRUCTURE USING SUBMINMUM FEATURES”の米国特許第
5,453,639号明細書に記載されている。この明細
書に記載された平坦化法は、幅広いトレンチの基底から
垂直に上に向かって延びる一連のサブミニマム(即ち厚
さ50〜500μm)のシリコンピラーを形成し、次い
で該ピラーを酸化することを包含する。基板がCVD酸
化物で被覆されると、ピラーはトレンチの上に単一の深
い凹所が形成されるのを阻止する。その代わりに、一連
の比較的浅い凹所(シリコンピラーの間に位置する)
が、該シリコンピラーが設けられなかった場合よりも著
しく浅い深さでもって形成される。従って、生じる表面
は、平坦化するのが容易である。
【0011】別のあまり複雑でない処理法が、現代の集
積回路における平坦な表面トポグラフィーの製造におい
て処理工程の数を最小にするために提案された。これら
の方法は、典型的には、エッチバック法の効果を軽減す
るために集積回路処理法に付加的なエッチストップ又は
平坦化層の析出を包含する。このような方法の1つは、
S.S. Cooperman et al. に対して発行されかつDigital
Equipment Corporation に譲渡された、発明の名称“CH
EMICAL MECHANICAL PLANARIZATION OF SHALLOWTRENCHS
IN SEMICONDUCTOR SUBSTRATES”の米国特許第5,49
4,857号明細書に記載されている。この特許に基づ
くプロセスフローは、STI含有半導体基板上への窒化
ケイ素の層の析出、それに引き続く酸化物、エッチスト
ップの薄膜、及び第2の酸化物の層の表面構造に従った
被膜の析出を包含する。酸化物の第2の層は、フィラー
マスクでパターン化されかつシリコンエッチストップ層
までエッチングされている。次いで、残りの酸化物を窒
化ケイ素層までポリシングするためにCMP技術が適用
される。同様な方法は、J. D. Haskell et al. に対し
て発行されかつAdvanced Micro Devices, Inc. に譲渡
された、発明の名称“METHODE OF PLANARIZATION OF TO
POLOGIES IN INTEGRATED CIRCUIT STRUCTURES”の米国
特許第4,962,064号明細書に記載されている。
【0012】付加的なエッチストップの使用は、有利に
も高度に平坦化された表面トポグラフィーを有する集積
回路構造の製造を可能にした。しかしながら、この利点
は、一定の費用をかけて達成される。付加的なエッチス
トップ層は、形成のために付加的な処理工程を必要と
し、従って集積回路製造の全体的コストを高くする一方
効率を常に減少させる。
【0013】
【発明が解決しようとする課題】従って、本発明の課題
は、ギャップなくSTIトレンチに充填し、かつ、複雑
な処理工程又はエッチストップの付加を必要としない改
良されたプロセスフローを提供することである。
【0014】
【課題を解決するための手段】基板の表面上に形成され
たデバイス構造の間にアイソレーションを形成するため
の方法を含むデバイスを製造する方法を提供する。該方
法は、基板の表面に活性領域及び不活性領域を形成しか
つ不活性領域内にアイソレーショントレンチを形成する
ことよりなる。如何なるギャップ又は空隙なしでトレン
チを有効に充填する、酸化物のような絶縁材料を基板の
表面上に形成する。絶縁層の一部分を選択的に除去し、
それにより活性領域を露出させる引き続いての平坦化を
短縮することが可能になる。活性領域を露出させるため
に必要な時間量を短縮することにより、アイソレーショ
ントレンチ内の絶縁材料の腐食が有効に減少せしめら
れ、実質的に均一なトポグラフィーが製造される。
【0015】
【実施例】次に、図面を参照して実施例により本発明を
詳細に説明する。
【0016】本発明は、実質的にギャップを有しない酸
化物を集積回路構造のSTI領域に充填するための改良
された方法、及び酸化物腐食を減少させるたにCMP工
程を短縮する平坦化法を提供する。ここに記載する処理
工程及び構造は、必ずしも集積回路を製造するための完
全なプロセスフローを構成するものではないことに留意
されるべきである。本発明は、当業界で通常使用される
集積回路製造技術と関連して実施できることは自明であ
る。従って、本発明による方法を理解するために必要で
ある処理工程のみを以下に記載する。
【0017】本発明の一実施例を、図4に示されたよう
な部分的に完成した集積回路構造30で開始して説明す
る。構造30は基板40上に形成されており、該基板は
例えばシリコンのような半導体材料よりなる。該基板自
体は、別の層の上に積層された構造を有する層であって
もよい。説明のために、このような構造を一般的にここ
では基板と称する。活性領域50及び51及び不活性領
域48は、例えばホトレジストマスク(図示せず)又は
同等のホトリソグラフィー技術を使用して表面上に形成
されている。一般に、デバイスは活性領域内部又はその
上に形成される。デバイスを分離するために、不活性領
域内にシャロートレンチ44及び46が形成されてい
る。該シャロートレンチは、例えば表面から基板の一部
分をエッチング又は除去することにより形成される。ホ
トレジストマスキング及び半導体基板内にトレンチをエ
ッチングする方法は当業者に周知であるので、ここで更
に説明しない。
【0018】典型的には、ホトレジストマスクは、集積
回路構造30の活性領域50及び51を被覆し、該活性
領域を、集積回路30内の不活性領域48内でトレンチ
44及び46がエッチングされる間に同時にエッチング
されることを防止する。集積回路構造においては、活性
成分は一般に寸法が変動する。従って、活性領域の寸法
もまた変動する。図示のように、活性領域51は狭い領
域を有し、かつ活性領域50は幅広い領域を有する。ま
た、活性領域の寸法の変化に基づき、シャロートレンチ
は、比較的狭いトレンチ44又は幅広いトレンチ46を
有することができる。活性領域及びシャロートレンチの
実際の寸法は重要でない。高い構成部品密度を有する集
積回路構造を製造することが所望されるので、狭いトレ
ンチは典型的には、ほぼ最小の特徴寸法又は基本原理に
相当し、一方幅広いトレンチは最小特徴寸法よりも大き
いものに相当する。従って、基板40の表面形状は、変
動幅のトレンチ44及び46によって分離されたほぼ一
定の高さの活性領域50及び51を含む。
【0019】一般に、44及び46のようなシャロート
レンチが半導体ウェーハ内に形成される場合は、その目
的は該トレンチに誘電体を充填すること及びシャロート
レンチを有する平坦な又はプレーナ基板を得ることであ
る。誘電体は任意の誘電体又は誘電体に変換可能な材料
であってよい。このような材料は、シリコン、窒化ケイ
素、酸化ケイ素、窒素を注入したシリコン及び同種のも
のを包含する。図5は、基板の表面上に形成された酸化
物層52を示す、従ってシャロートレンチに誘電体が充
填されている。酸化物層の形成は、高密度プラズマ源
(HDP−CVD)を使用したプラズマ強化化学気相成
長により達成される。このようなHDP−CVD技術
は、例えば、誘導結合プラズマ源の使用を利用する。H
DP−CVD技術は、Francombe, “Physics of Thin F
ilm” Academic Press (1994)に記載されている。
【0020】HDP−CVD技術の使用は、有利に、実
質的に如何なるギャップを有せずにシャロートレンチを
充填する。前述のとおり、熱酸化及びCVD技術を包含
するシャロートレンチの通常の充填技術は、シャロート
レンチ内にギャップを形成する。従って、HDP−CV
D技術は、一般的に通常のトレンチ充填技術と関連して
シャロートレンチ内のギャップの形成を減少又は排除す
る。電子サイクロトロン及びヘリコン波励起プラズマ技
術もまた酸化物層を析出させるために有効である。この
ような技術は、 Francombe, “Physics of Thin Film
Academic Press (1994)に記載されている。
【0021】なお図5について説明すれば、HDP−C
VD酸化物層52は半導体基板40の表面を完全に覆っ
ていることを見て取ることができる。 HDP−CVD
酸化物層52は、シャロートレンチ44及び46に完全
に充満するのに十分な厚さを有する。シャロートレンチ
の充填は、また、基板の表面を被覆する。図5から分か
るように、HDP−CVD技術は、アレー内に均一な充
填形状を提供する。活性領域50及び51の上では、H
DP−CVD酸化物は、角をなしてシャロートレンチか
ら突出しており、該酸化物が基板の表面を覆うように、
ほぼ傾斜したエッジ55及び56を形成する。図示され
ているように、傾斜エッジは、狭い活性領域51上に小
さい酸化物三角形部54を形成する。幅広い活性領域5
0上の酸化物層52は、ほぼ相補的な傾斜エッジ55及
び56と平坦な中央部分58とからなる。幅広い活性領
域50上の相補的エッジ55及び56は合一して三角形
を形成しないが、それにもかかわらずこれらの領域にお
ける酸化物層は形状が三角形である。
【0022】独特の三角形の形状54及び57は、HD
P−CVD工程中に起きる現場(insutu)スパッタリン
グに基づく。このような三角形形状は、通常の熱又はC
VD技術により形成される酸化物層には観察されない。
該三角形形状の不在は、通常の析出工程の相似性に基づ
き、谷及び岡を有するトポグラフィーを生じる(図1〜
3に関する前述の説明参照)。
【0023】しかしながら、三角形部54の形成は重要
なことでなくかつ説明の目的のために示されていること
に留意されるべきである。酸化物層が活性領域の上に三
角形部を形成するかどうか、即ち2つの相補的傾斜エッ
ジ55及び56が合一するかどうかは、活性領域の幅及
び酸化層の厚さ次第である。例えば、若干の狭い活性領
域は、相補的エッジが合一するのに十分な狭さでなくて
もよい。従って、酸化物層の形状は、より狭い平坦な中
央部分を別として幅広い活性領域の上に位置する形状に
類似した三角形形状になることもある。
【0024】図6及び7は、本発明による集積回路構造
30の一部分のSEM写真である。該写真は、誘導結合
プラズマ源によって析出されたHDP−CVD酸化物層
52が充填されたSTI構造を示す。図6は狭いタイプ
の単一のSTIに焦点を合わせたものであり、一方図7
はこのようなSTIのアレーを示す。HDP−CVD酸
化物層52の優れたギャップフィル、及び基板40の上
の小さい活性領域50上に残った小さい三角形部54に
注目されるべきである。基板40及び狭い活性領域44
も写真で明確に見て取ることができる。
【0025】次いで、活性領域を露出させるために酸化
物層を平坦化する。STI上の三角形形状の酸化物領域
は、平坦化法におけるCMP工程を短縮せしめる。CM
P工程の目的は、基板の表面をポリシングして(1)活
性領域を露出させるために酸化物層を除去すること、及
び(2)均一なプレーナトポグラフィーを得ることであ
る。ポリシング工程の短縮は、STIトレンチ内の酸化
物腐食を減少させ、それにより通常の平坦化法により惹
起される表面における非平坦性が回避される。若干の実
例においては、CMP工程の短縮はまた長時間のCMP
工程で起こり得る狭い活性領域の腐食をも減少させる。
以下の説明から明らかなように、STIフィルとしてH
DP−CVD酸化物を使用することは有利にもSTI内
の酸化物の高さを、CMP除去によってではなく、析出
工程により実質的に決定することを可能にする。
【0026】CMP工程の継続時間は、活性領域を露出
させるために酸化物を除去するために必要とされる時間
に依存する。図5に戻って説明すると、幅広い活性領域
50上の酸化物の量は狭い活性領域51上よりも多いこ
とが明らかである。従って、CMP工程の継続時間は典
型的には幅広い活性領域を露出させるために要する時間
に基づく。しかしながら、先に説明したように、幅広い
活性領域を露出させるため通常のCMP工程のために必
要とされる時間は、一般に長すぎ、かつ幅広いSTI内
の過剰の酸化物腐食を引き起こす。また、CMP工程は
狭い活性領域をオーバポリシングし、その内部に腐食を
惹起することがある。結果として、平坦でないトポグラ
フィーが形成される。
【0027】本発明によれば、STI及び狭い活性領域
の過剰腐食を回避するために、CMP工程が短縮され
る。一実施例においては、CMP工程の短縮は、活性領
域上の三角形形状の酸化物領域から酸化物の一部を選択
的に除去することにより達成される。活性領域を露出さ
せるために除去することが必要な酸化物の量を減少させ
ることにより、相応するCMP工程は短縮される。典型
的には、活性領域から除去される酸化物の量は、活性領
域がSTI内に過剰の酸化物を惹起することなく、従っ
て実質的に平坦な表面が生じるように露出されるよう
に、CMP工程を効果的に短縮するために十分な量であ
る。
【0028】典型的には、狭い活性領域51の上の三角
形部54における酸化物を除去するために必要な量は、
STI内の実質的に平坦な表面を生じるためには十分に
短い。従って、幅広い活性領域50上に残る酸化物の量
は、三角形部54内の量を上回るべきでない。酸化物領
域52における中央部分を除去する場合には、その後に
残る側部のそれぞれは三角形部54内の酸化物を量を越
えるべきでない。
【0029】図8〜10は、集積回路構造30の活性領
域から酸化物層の一部の除去を示す。活性領域50及び
51を露出させるために、HDP−CVD酸化物層52
の部分を除去する。図8に示されているように、活性領
域を露出させるために、HDP−CVD酸化物層52の
上にホトレジスト層60を形成しかつパターン化する。
一実施例では、HDP−CVD酸化物層を形成しかつパ
ターン化するために逆の活性領域マスク(図示せず)を
使用する。このようなマスクは、活性領域を形成するた
めに使用されるマスクのネガチブマスクである。該逆マ
スクをバイアス(bias)するための技術は、当業者に周
知である。典型的には、リソグラフィー法と関連したオ
ーバーレイ誤差が生じる。このオーバーレイ誤差を補償
するために、逆マスクをバイアスさせる。バイアス量
は、HDP−CVD酸化物層の傾斜エッジ55及び56
上にホトレジストのエッジをシフトさせるために十分な
量である。許容最大バイアスは、CMP工程の性能に依
存する。
【0030】典型的には、該バイアスは、ほぼホトレジ
ストのエッジを傾斜エッジ上に効果的にシフトさせるの
に十分な量と、ほぼ実質的に平坦な表面を有する活性領
域を露出させるようにポリシング工程を効果的に短縮す
る量との間にある。一実施例では、バイアスの量は、酸
化物層の傾斜エッジをほぼ覆う量まで、ホトレジストの
エッジを傾斜エッジまでシフトさせるのに十分な量であ
る。傾斜エッジを覆うことにより、三角形部54はホト
レジストで覆われることなる(図示せず)。その結果と
して、幅広い活性領域50の上の酸化物層の部分のみが
除去されることになる。有利には、バイアスの量は、酸
化物層の傾斜エッジの約5〜95%を覆うためにマスク
を効果的にシフトさせるために十分な量である。より有
利には、バイアスの量は、酸化物層の傾斜エッジの約1
0〜90%を覆うためにマスクを効果的にシフトさせる
ために十分な量である。更により有利には、バイアスの
量は、酸化物層の傾斜エッジの約25〜85%を覆うた
めにマスクを効果的にシフトさせるために十分な量であ
る。最も有利には、バイアスの量は、酸化物層の傾斜エ
ッジの約20〜80%を覆うためにマスクを効果的にシ
フトさせるために十分な量である。
【0031】0.25μmの基本原理を有する構造を有
するデバイスにおいて、このリソグラフィー工程のため
にはオーバーレイは重要ではないので、中紫外線(MU
V)リソグラフィー技術を使用することもできる。逆バ
イアス下領域マスクの使用は、有利にも活性領域を露出
させるために新たなマスクを無闇に製造する必要性を排
除する。次いで、ホトレジストにより保護されていない
酸化物層52の領域を適当なエッチング技術(例えばR
IE)を使用してエッチングする。該RIEエッチング
工程は、酸化物選択性である。酸化物選択性RIEを使
用することによって、シリコン基板及びレジストはエッ
チストップとして作用する。従って、RIEはHDI−
CVD酸化物層52のみを除去し、ホトレジスト層60
によって覆われていない領域上の半導体基板表面を露出
させる。
【0032】図9に示されているように、RIEエッチ
ング法は、活性領域に重なるHDP−CVD酸化物層の
部分を除去する。また、HDI−CVD酸化物層52の
楔形部分62はRIEエッチング工程後に活性領域のエ
ッジの表面に残っていることを見て取ることができる。
これらの楔形部分62は、引き続いてのCMP工程で除
去される。
【0033】次いで図10に関して説明すれば、該図面
には集積回路平坦化法における次の工程が示されてい
る。前記のホトレジストマスクは、アッシング又は類似
した方法を使用して除去されている。これらの方法は、
当業者に周知であり、ここでは更に説明しない。アッシ
ング法は、ホトレジストマスキング層のみを除去し、半
導体基板40の表面に楔形HDI−CVD酸化物部分6
2を残す。次いで、生じた構造を最終的CMP工程で処
理し、残りのHDI−CVD酸化物構造62の全てを除
去し、それにより高度に平坦化されたトポグラフィーが
半導体基板に残る。HDI−CVD酸化物構造の小さい
寸法に関する前記の技術において行われた工程に基づ
き、この特殊なCMP工程を短縮することができ、ひい
てはSTIトレンチ、特に幅広いSTIトレンチ内の酸
化物腐食を最小にすることができる。
【0034】次いで、図11に関して説明すれば、集積
回路構造の最終的に平坦化された半導体基板が示されて
いる。図面から推知され得るように、集積回路30の半
導体基板40の上面は、HDI−CVD酸化物充填シャ
ロートレンチアイソレーショントレンチ72の上面を基
準として実質的に平坦である。CMP工程は短時間であ
るので、該工程は、STI内の酸化物の高さを決定する
HDI−CVD酸化物析出工程であって、CMP除去工
程ではない。このことは従来の技術の方法に比較すると
有利である。それというのも、STIからの酸化物の過
剰腐食を生じる長時間のCMP時間に基づくオーバポリ
シングの問題を回避するからである。本発明による集積
回路構造30がデバイスアイソレーションを可能にする
STI領域を有する高度に平坦化された表面構造をもっ
て提供された後、次いで更に公知の集積回路技術に基づ
き処理することができる。
【0035】今や明らかにされたように、本発明は実質
的に集積回路製造法における従来の技術のギャップフィ
ル及び平坦化法と関連した多くの問題点を克服する。誘
導結合プラズマ源によって析出されたHDI−CVD酸
化物層は、基板のSTI領域に絶縁酸化物層を提供す
る。絶縁層は、これらの領域をギャップなして充填し、
一方同時に三角形形状の表面構造を形成する。次いで、
三角形構造の部分を除去し、引き続いてのCMP工程を
短縮する。更に、CMP工程は短縮され得るので、従来
の技術の酸化物腐食と関連した問題は実質的に回避され
る。
【0036】ここに記載した実施例は単に例示に過ぎ
ず、当業者にとってはここに記載した部材と機能的に等
価の部材を利用して上記実施例を適当に変更及び修正で
きることは自明のことである。例えば、本発明は、同じ
又は異なった横方向の間隔によって分離された、ほぼ一
定の高さの領域を有する任意の表面形状に対して同等に
適用可能である。また、平坦化すべき基板の出発表面形
状はウェーハ製造工程の多数の異なった工程の任意の1
つであってもよいことに留意されるべきである。トレン
チを分離する領域は、ここに記載のように、活性領域を
表してもよく、又は集積回路構造に存在することが知ら
れている島もしくはその他の構造であってもよい。この
ような任意のかつ全ての変更又は修正並びに当業者に明
白となるようなその他の手段は、本願の特許請求の範囲
により定義される発明の範囲内に包含されるものであ
る。
【図面の簡単な説明】
【図1】熱CVD法により酸化物が充填された典型的な
集積回路構造の一部における種々の幅のシャロートレン
チアイソレーション構造を示す断面図である。
【図2】酸化物層の部分を除去するエッチバック工程を
実施した後の図1の集積回路構造を示す断面図である。
【図3】図2に引き続いた通常のCMP工程後の集積回
路構造を示す断面図である。
【図4】本発明による方法の有利な実施例に基く最初の
製造工程における集積回路構造の部分を示す断面図であ
る。
【図5】図4に引き続いた処理工程後の集積回路構造の
部分を示す断面図である。
【図6】本発明による方法に基づき製造された集積回路
構造のHDP−CVD酸化物充填STI領域を示すSE
M写真であり、狭いタイプの単一のSTIを示す。
【図7】図6の写真に相当し、但しSTIのアレーを示
す。
【図8】本発明による方法の別の有利な実施例に基づく
製造工程における集積回路構造の部分を示す断面図であ
る。
【図9】図8に引き続いた処理工程における集積回路構
造の部分を示す断面図である。
【図10】図9に引き続いた処理工程における集積回路
構造の部分を示す断面図である。
【図11】図10に引き続いた処理工程後の集積回路構
造の部分を示す断面図である。
【符号の説明】
30 集積回路構造、 40 基板、 44,46 シ
ャロートレンチ、 48 不活性領域、 50,51
活性領域、 52 HDP−CVD酸化物層、54 酸
化物三角形部、 55,56 傾斜エッジ、 57 平
坦な中央部分、 60 ホトレジスト層、 62 楔形
部分、 72 HDP−CVD酸化物充填シャロートレ
ンチアイソレーショントレンチ

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】 基板上に製造されたデバイス構造間にア
    イソレーションを形成する工程を含む半導体デバイスを
    製造する方法において、 基板の表面上に活性領域及び不活性領域を形成し、 不活性領域内にアイソレーショントレンチを形成し、 高密度プラズマ強化化学気相成長(HDP−CVD)に
    よって表面上に絶縁材料の層を形成し、その際HDP−
    CVD層は有効に実質的に如何なるギャップなしでシャ
    ロートレンチを充填し、かつ活性領域を被覆し、かつ前
    記基板の表面を平坦化して活性領域を露出させる一方、
    アイソレーション領域内の絶縁材料の腐食を効果的に減
    少させて、実質的に均一なトポグラフィーを形成するこ
    とを特徴とする、半導体デバイスの製造方法。
JP9225570A 1996-08-08 1997-08-08 半導体デバイスの製造方法 Withdrawn JPH1079423A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/694072 1996-08-08
US08/694,072 US5851899A (en) 1996-08-08 1996-08-08 Gapfill and planarization process for shallow trench isolation

Publications (1)

Publication Number Publication Date
JPH1079423A true JPH1079423A (ja) 1998-03-24

Family

ID=24787292

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9225570A Withdrawn JPH1079423A (ja) 1996-08-08 1997-08-08 半導体デバイスの製造方法

Country Status (7)

Country Link
US (1) US5851899A (ja)
EP (1) EP0825645B1 (ja)
JP (1) JPH1079423A (ja)
KR (1) KR100621255B1 (ja)
CN (1) CN1099133C (ja)
DE (1) DE69737433T2 (ja)
TW (1) TW335531B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100295917B1 (ko) * 1999-06-08 2001-07-12 황인길 반도체 소자 분리를 위한 얕은 트렌치 제조 방법
KR100358054B1 (ko) * 1999-12-24 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7176145B2 (en) 2003-01-27 2007-02-13 Elpida Memory, Inc. Manufacturing method of semiconductor device
KR100717823B1 (ko) 2004-12-28 2007-05-11 주식회사 하이닉스반도체 반도체 소자의 아이엠디막 형성방법

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4195734B2 (ja) * 1996-06-10 2008-12-10 テキサス インスツルメンツ インコーポレイテツド 集積回路のトレンチ分離製作方法
US6117345A (en) 1997-04-02 2000-09-12 United Microelectronics Corp. High density plasma chemical vapor deposition process
TW332915B (en) * 1997-06-24 1998-06-01 Ti Acer Co Ltd The producing method for shallow trench isolation with global planarization
US6241582B1 (en) * 1997-09-01 2001-06-05 United Microelectronics Corp. Chemical mechanical polish machines and fabrication process using the same
DE19741704A1 (de) * 1997-09-22 1999-04-01 Siemens Ag Verfahren zur Erzeugung von Isolationen in einem Substrat
KR100239453B1 (ko) * 1997-11-06 2000-01-15 김영환 반도체 소자의 소자 격리층 형성 방법
US6165914A (en) * 1997-11-12 2000-12-26 Agilent Technologies Method for fabricating semiconductor devices with thick high quality oxides
US6306725B1 (en) * 1997-11-19 2001-10-23 Texas Instruments Incorporated In-situ liner for isolation trench side walls and method
US6124183A (en) * 1997-12-18 2000-09-26 Advanced Micro Devices, Inc. Shallow trench isolation formation with simplified reverse planarization mask
US6093611A (en) * 1997-12-19 2000-07-25 Advanced Micro Devices, Inc. Oxide liner for high reliability with reduced encroachment of the source/drain region
JPH11214499A (ja) * 1998-01-27 1999-08-06 Mitsubishi Electric Corp 半導体装置の製造方法
JPH11233609A (ja) * 1998-02-13 1999-08-27 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6359471B1 (en) * 1998-03-09 2002-03-19 Infineon Technologies North America Corp. Mixed swing voltage repeaters for high resistance or high capacitance signal lines and methods therefor
TW498440B (en) * 1998-03-30 2002-08-11 Hitachi Ltd Manufacture method of semiconductor device
US7001713B2 (en) * 1998-04-18 2006-02-21 United Microelectronics, Corp. Method of forming partial reverse active mask
US6057210A (en) * 1998-04-21 2000-05-02 Vanguard International Semiconductor Corporation Method of making a shallow trench isolation for ULSI formation via in-direct CMP process
US6004863A (en) * 1998-05-06 1999-12-21 Taiwan Semiconductor Manufacturing Company Non-polishing sacrificial layer etchback planarizing method for forming a planarized aperture fill layer
KR100296137B1 (ko) * 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
US6013559A (en) * 1998-07-17 2000-01-11 United Microelectronics Corp. Method of forming trench isolation
JP2000040737A (ja) * 1998-07-24 2000-02-08 Oki Electric Ind Co Ltd 素子分離領域の形成方法
TW409344B (en) * 1998-09-02 2000-10-21 United Microelectronics Corp Method of producing shallow isolation trench
US5930646A (en) * 1998-10-09 1999-07-27 Chartered Semiconductor Manufacturing, Ltd. Method of shallow trench isolation
US6232043B1 (en) * 1999-05-25 2001-05-15 Taiwan Semiconductor Manufacturing Company Rule to determine CMP polish time
US6319796B1 (en) * 1999-08-18 2001-11-20 Vlsi Technology, Inc. Manufacture of an integrated circuit isolation structure
DE19939597B4 (de) * 1999-08-20 2006-07-20 Infineon Technologies Ag Verfahren zur Herstellung einer mikroelektronischen Struktur mit verbesserter Gatedielektrikahomogenität
US6191001B1 (en) * 1999-08-25 2001-02-20 Lucent Technologies, Inc. Shallow trench isolation method
US7253047B2 (en) 1999-09-01 2007-08-07 Micron Technology, Inc. Semiconductor processing methods of forming transistors, semiconductor processing methods of forming dynamic random access memory circuitry, and related integrated circuitry
US6356452B1 (en) * 1999-10-13 2002-03-12 Micron Technology, Inc. Soldermask opening to prevent delamination
US6258676B1 (en) 1999-11-01 2001-07-10 Chartered Semiconductor Manufacturing Ltd. Method for forming a shallow trench isolation using HDP silicon oxynitride
US6472291B1 (en) 2000-01-27 2002-10-29 Infineon Technologies North America Corp. Planarization process to achieve improved uniformity across semiconductor wafers
JP3651344B2 (ja) * 2000-02-03 2005-05-25 セイコーエプソン株式会社 半導体装置の製造方法
US6281114B1 (en) * 2000-02-07 2001-08-28 Infineon Technologies Ag Planarization after metal chemical mechanical polishing in semiconductor wafer fabrication
US6372605B1 (en) * 2000-06-26 2002-04-16 Agere Systems Guardian Corp. Additional etching to decrease polishing time for shallow-trench isolation in semiconductor processing
US6294423B1 (en) 2000-11-21 2001-09-25 Infineon Technologies North America Corp. Method for forming and filling isolation trenches
EP1271631A1 (en) * 2001-06-29 2003-01-02 Interuniversitair Micro-Elektronica Centrum Vzw A method for producing semiconductor devices using chemical mechanical polishing
US6667223B2 (en) * 2001-07-13 2003-12-23 Infineon Technologies Ag High aspect ratio high density plasma (HDP) oxide gapfill method in a lines and space pattern
US6585567B1 (en) 2001-08-31 2003-07-01 Koninklijke Philips Electronics N.V. Short CMP polish method
US6638145B2 (en) * 2001-08-31 2003-10-28 Koninklijke Philips Electronics N.V. Constant pH polish and scrub
US6780730B2 (en) 2002-01-31 2004-08-24 Infineon Technologies Ag Reduction of negative bias temperature instability in narrow width PMOS using F2 implantation
US6673695B1 (en) * 2002-02-01 2004-01-06 Chartered Semiconductor Manufacturing Ltd. STI scheme to prevent fox recess during pre-CMP HF dip
US6774042B1 (en) * 2002-02-26 2004-08-10 Taiwan Semiconductor Manufacturing Company Planarization method for deep sub micron shallow trench isolation process
CN1314115C (zh) * 2002-03-15 2007-05-02 台湾积体电路制造股份有限公司 多重金属层内连线结构
JP2003282438A (ja) * 2002-03-27 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及び半導体装置、電気光学装置、電子機器
US6734080B1 (en) * 2002-05-31 2004-05-11 Advanced Micro Devices, Inc. Semiconductor isolation material deposition system and method
US7164837B2 (en) * 2002-12-06 2007-01-16 Agency For Science, Technology And Research Method of fabricating optical waveguide devices with smooth and flat dielectric interfaces
US6821865B2 (en) * 2002-12-30 2004-11-23 Infineon Technologies Ag Deep isolation trenches
CN1327503C (zh) * 2004-09-08 2007-07-18 上海宏力半导体制造有限公司 增进浅槽隔离结构高度均匀性的方法
KR100645211B1 (ko) * 2005-07-28 2006-11-10 동부일렉트로닉스 주식회사 플래시 메모리 셀의 플로팅 게이트 형성 방법
KR100972904B1 (ko) * 2008-05-16 2010-07-28 주식회사 하이닉스반도체 반도체 소자의 형성 방법
DE102010046213B3 (de) * 2010-09-21 2012-02-09 Infineon Technologies Austria Ag Verfahren zur Herstellung eines Strukturelements und Halbleiterbauelement mit einem Strukturelement
JP5447722B1 (ja) * 2013-07-17 2014-03-19 富士ゼロックス株式会社 情報処理システム及びプログラム
US9773682B1 (en) * 2016-07-05 2017-09-26 United Microelectronics Corp. Method of planarizing substrate surface
KR102614427B1 (ko) 2018-09-19 2023-12-18 삼성전자주식회사 반도체 소자 및 그 형성 방법
TWI756003B (zh) 2021-01-04 2022-02-21 力晶積成電子製造股份有限公司 平坦化方法
CN113471138B (zh) * 2021-07-05 2023-10-24 长鑫存储技术有限公司 半导体基底的制备方法及半导体器件

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4665007A (en) * 1985-08-19 1987-05-12 International Business Machines Corporation Planarization process for organic filling of deep trenches
US4954459A (en) * 1988-05-12 1990-09-04 Advanced Micro Devices, Inc. Method of planarization of topologies in integrated circuit structures
US4962064A (en) * 1988-05-12 1990-10-09 Advanced Micro Devices, Inc. Method of planarization of topologies in integrated circuit structures
JP2870054B2 (ja) * 1989-10-25 1999-03-10 ソニー株式会社 半導体装置の製造方法
US5187119A (en) * 1991-02-11 1993-02-16 The Boeing Company Multichip module and integrated circuit substrates having planarized patterned surfaces
JP3132089B2 (ja) * 1991-10-04 2001-02-05 ソニー株式会社 半導体装置の製造方法
US5245213A (en) * 1991-10-10 1993-09-14 Sgs-Thomson Microelectronics, Inc. Planarized semiconductor product
EP0545263B1 (en) * 1991-11-29 2002-06-19 Sony Corporation Method of forming trench isolation having polishing step and method of manufacturing semiconductor device
US5292689A (en) * 1992-09-04 1994-03-08 International Business Machines Corporation Method for planarizing semiconductor structure using subminimum features
US5494857A (en) * 1993-07-28 1996-02-27 Digital Equipment Corporation Chemical mechanical planarization of shallow trenches in semiconductor substrates
US5387540A (en) * 1993-09-30 1995-02-07 Motorola Inc. Method of forming trench isolation structure in an integrated circuit
US5399533A (en) * 1993-12-01 1995-03-21 Vlsi Technology, Inc. Method improving integrated circuit planarization during etchback
US5385861A (en) * 1994-03-15 1995-01-31 National Semiconductor Corporation Planarized trench and field oxide and poly isolation scheme
US5494854A (en) * 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100295917B1 (ko) * 1999-06-08 2001-07-12 황인길 반도체 소자 분리를 위한 얕은 트렌치 제조 방법
KR100358054B1 (ko) * 1999-12-24 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7176145B2 (en) 2003-01-27 2007-02-13 Elpida Memory, Inc. Manufacturing method of semiconductor device
KR100717823B1 (ko) 2004-12-28 2007-05-11 주식회사 하이닉스반도체 반도체 소자의 아이엠디막 형성방법

Also Published As

Publication number Publication date
CN1176488A (zh) 1998-03-18
DE69737433T2 (de) 2007-11-29
KR19980018523A (ko) 1998-06-05
CN1099133C (zh) 2003-01-15
US5851899A (en) 1998-12-22
KR100621255B1 (ko) 2006-12-04
DE69737433D1 (de) 2007-04-19
EP0825645A1 (en) 1998-02-25
TW335531B (en) 1998-07-01
EP0825645B1 (en) 2007-03-07

Similar Documents

Publication Publication Date Title
JPH1079423A (ja) 半導体デバイスの製造方法
KR100279016B1 (ko) 반도체 제조시 비-컨포멀 디바이스 층을 평탄화하는 방법
US5923993A (en) Method for fabricating dishing free shallow isolation trenches
KR100428805B1 (ko) 트렌치 소자분리 구조체 및 그 형성 방법
EP0637065A2 (en) Chemical mechanical planarization of shallow trenches in semiconductor substrates
US5212114A (en) Process for global planarizing of surfaces for integrated semiconductor circuits
JP2687948B2 (ja) 半導体装置の製造方法
JPH05206261A (ja) 集積回路製造において溝分離を行うための平坦化方法
WO2000002235A1 (en) Method of planarizing integrated circuits
US6103581A (en) Method for producing shallow trench isolation structure
US6214735B1 (en) Method for planarizing a semiconductor substrate
JP2000036533A (ja) 半導体装置の製造方法
US20050003668A1 (en) Plasma assisted pre-planarization process
KR20040036757A (ko) 얕은 트렌치 소자분리 공정을 이용한 반도체 소자의소자분리방법
KR100868925B1 (ko) 반도체 소자의 소자분리막 형성방법
US6897121B2 (en) Method of removing HDP oxide deposition
KR100712983B1 (ko) 반도체 소자의 평탄화 방법
KR100607331B1 (ko) 반도체 소자의 비트라인 형성방법
JPH09260485A (ja) 半導体装置の製造方法
JPH11340312A (ja) 半導体装置の製造方法
KR100312647B1 (ko) 반도체 소자의 평탄화방법
KR20040110792A (ko) 반도체 소자의 얕은 트랜치 소자분리막 형성방법
KR19980030769A (ko) 반도체 소자의 평탄화 방법
JPH09246219A (ja) 半導体装置の製造方法
KR100190065B1 (ko) 트렌치 소자분리방법

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20041102