JPH05206261A - 集積回路製造において溝分離を行うための平坦化方法 - Google Patents

集積回路製造において溝分離を行うための平坦化方法

Info

Publication number
JPH05206261A
JPH05206261A JP4164573A JP16457392A JPH05206261A JP H05206261 A JPH05206261 A JP H05206261A JP 4164573 A JP4164573 A JP 4164573A JP 16457392 A JP16457392 A JP 16457392A JP H05206261 A JPH05206261 A JP H05206261A
Authority
JP
Japan
Prior art keywords
groove
photoresist
coating
layer
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP4164573A
Other languages
English (en)
Inventor
Ching-Tai S Wang
タイ シャーマン ワン チン
Gregory J Grula
ジョセフ グルーラ グレゴリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Digital Equipment Corp
Original Assignee
Digital Equipment Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Digital Equipment Corp filed Critical Digital Equipment Corp
Publication of JPH05206261A publication Critical patent/JPH05206261A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/05Etch and refill

Abstract

(57)【要約】 (修正有) 【目的】 溝分離を使用する形式のもので、ウェハ表面
の活性領域及び溝が色々な巾をとる場合にシリコンウェ
ハの表面を平坦化する方法を提供する。 【構成】 溝及び活性領域はシリコン酸化物の順応性被
膜で覆われ、溝の側壁に酸化物の側壁スペーサ16を残
すようにエッチングされ、次いで、第2の順応性酸化物
被膜17が付着される。第1のホトレジスト層が表面に
付着され、巾の広い溝においてのみホトレジストを残す
ようにパターン化される。第2のホトレジスト層が付着
され、活性領域の順応性被膜までエッチバックされて、
巾の狭い溝にある程度のレジストが残される。第3のホ
トレジスト層が付着され、これら3つのホトレジスト層
及び酸化物が活性領域の上面レベルまで同時にエッチバ
ックされ、巾の異なる溝及び活性領域の種々の領域内で
高さのばらつきが最小であるような実質的に平らな表面
が残される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体デバイスに係
り、より詳細には、溝分離を使用した集積回路製造にお
ける平坦化方法に係る。
【0002】
【従来の技術】集積回路の製造工程では、今や一般的と
なった厚いフィールド酸化物による分離を用いた場合に
生じる地形学的な不確定性を回避するために溝分離が使
用されている。特に、窒化物のようなマスクを用いて熱
フィールド酸化物を成長させるときには、活性領域に向
かって酸化物が侵入する。このような侵入を「鳥の嘴」
作用と称している。しかしながら、溝分離技術では、活
性領域から酸化物を除去してその酸化物を溝に保持する
ために、平坦化プロセスを使用する必要がある。パター
ンの密度がまちまちなために、時には充分な平坦化が達
せられない。ウェハ面のある領域では、巾の狭い溝があ
って溝と溝との間の活性領域も狭くなっており、他の領
域では、活性領域も溝も巾の広いものとなっており、し
かも、ウェハの面に沿った他の場所では、回路密度が変
化するために溝の巾と活性領域の巾との色々な組み合わ
せが生じる。
【0003】
【発明が解決しようとする課題】溝分離を使用するとき
にウェハの表面を平坦化する多数の技術が開発されてい
る。例えば、エッチバックを伴う順応性酸化物付着は局
部的に滑らかな平坦化を行うことができるが、この技術
では巾の広い溝の場合に問題が生じる。別の技術では、
スピン・オンホトレジスト又はガラスの後にエッチバッ
クを使用するが、この場合も、溝の形状によって滑らか
さが異なり、種々の回路密度が存在するときには全体的
な平坦化が達成されない。
【0004】従来の技術は局部的な領域については充分
な平坦化を行えるが、種々の溝パターンの広い領域につ
いては全体的な平坦化を行うことができない。最近、2
層のホトレジスト構造体を使用した全体的な平坦化が報
告されており、これは、第1の層を、第2層による被膜
形成のための均一平面を設けるようにパターン化するも
のである。この2層の積層体を元のレベルまでエッチバ
ックし、平らな表面を残すようにする。この2層ホトレ
ジスト方法は、いわゆる平坦化ブロックマスクを使用す
ることができ、充分に広い溝においてのみホトレジスト
を残すように第1レベルをパターン化し、平坦化の達成
度を高めることができる。
【0005】これらの平坦化方法に使用できる幾つかの
改良はあるが、特に、重要な寸法制御においてマスクの
整列づれやミスが起きたときには、出来上がった構造体
において表面レベルに依然としてばらつきが生じる。
【0006】
【課題を解決するための手段】本発明は、その広い見地
においては、集積回路の製造中に溝分離を行うために基
体の表面或いは基体上に付着又は成長した材料の表面を
平坦化する方法であって、巾は変化するが深さはほぼ等
しい溝を上記表面にエッチングし、上記溝の側壁、溝の
平坦面及び溝と溝との間にコーティングを行って、上記
表面に絶縁材料の順応性被膜を形成し、上記溝の側壁に
側壁スペーサを残すように上記被膜をエッチングし、上
記溝の側壁にあるスペーサ、溝の平坦面及び溝と溝との
間にコーティングを行って、上記表面に上記絶縁材料の
第2の順応性被膜を形成し、上記第2の順応性被膜の上
にホトレジストの第1層を付着し、溝の巾が他の巾狭の
溝よりも広いことに基づいて選択した上記溝のうちの選
択された溝においてのみ上記第1のホトレジスト層を残
すようにこの第1ホトレジスト層をパターン化し、上記
第1のホトレジスト層の残り部分をリフローして、上記
側壁に隣接した上記ホトレジストの縁にあるギャップを
充填し、上記第1のホトレジスト層及び上記順応性被膜
の上に第2のホトレジスト層を付着し、上記第2のホト
レジスト層を上記順応性被膜のレベルまでエッチング
し、第3のホトレジスト層を付着し、上記ホトレジスト
層及び上記順応性被膜を上記溝と溝との間の上記平坦領
域の上面レベルまでエッチングして実質的に平面を残す
ようにしたことを特徴とする方法を提供する。
【0007】本発明の一実施例によれば、溝分離を使用
する形式のものであって、ウェハ表面の活性領域及び溝
が種々の巾をとる場合にシリコンウェハの表面を平坦化
する方法が提供される。溝及び活性領域は、シリコン酸
化物の順応性被膜で覆われ、一実施例によれば、この被
膜は、溝の側壁に酸化物の側壁スペーサを残すようにエ
ッチングを受け、次いで、酸化物の第2の順応性被膜が
付着される。第1のホトレジスト層が表面に付着され、
巾の広い溝においてのみホトレジストを残すようにパタ
ーン化される。別の実施例によれば、第1層の残りのホ
トレジストは、整列づれ等を考慮するために熱処理によ
ってリフローされる。第2のホトレジスト層が付着さ
れ、活性領域上の順応性被膜までエッチバックされ、狭
い溝にある程度のホトレジストが残される。第3のホト
レジスト層が付着され、次いで、3枚のホトレジスト層
及び酸化物が活性領域の上面レベルまで同時にエッチバ
ックされ、巾の異なる溝及び活性領域の種々の領域にお
いて高さ変動が最小の実質的に平らな表面が残される。
【0008】
【実施例】本発明の新規な特徴は、特許請求の範囲に指
摘するが、本発明それ自身、他の特徴及び効果は、添付
図面を参照した特定実施例の以下の詳細な説明から理解
されよう。
【0009】図1には、半導体ウェハ表面の活性領域を
分離する方法の溝エッチング段階の結果が示されてい
る。シリコンウェハ10には、窒化シリコンを付着し
(通常は熱酸化物被膜の上に)そしてこの窒化物をホト
レジストで覆い、溝パターンを画成するマスクを通して
表面を露光し、次いで、現像してマスク11を残すよう
にすることにより、その上面にエッチングマスク11が
形成されている。表面は、反応性イオンエッチング(R
IE)のような非等方性エッチングを受けて、溝12、
13及び14が形成されている。3つの別々の形式の平
坦化問題を説明するために、巾の異なる3つの溝12、
13及び14が示されている。左側の溝12のような巾
の広い溝をもつ巾の広い活性領域はある種の問題を提起
し、一方、狭い溝13をもつサイズの小さい活性領域は
別の問題を提起する。最小サイズの溝(例えば、1ミク
ロン巾より小さい)は最も詰まり易い状態をもたらす
が、溝内の酸化物レベルはより高いものとなる。図2に
示すように、次の段階は、TEOS(テトラエチルオー
ソシリケート)付着を用いて第1の順応性酸化物被膜を
付着することであり、例えば、均一厚みの酸化物被膜1
5を形成し、これは平坦領域の被膜と同じ厚みで溝の側
壁を覆うものである。一例においては、この厚みが約
0.6ミクロンである。
【0010】本発明の1つの特徴によれば、この順応性
被膜15はRIEを用いて非等方性エッチングを受け、
図3に示すように側壁スペーサ16が残される。これら
スペーサの巾は順応性被膜15の厚みにほぼ等しく、エ
ッチングによってほぼ被膜の深さまで材料が除去された
だけである。この側壁スペーサ16の作用は、有効活性
領域の巾を広げると同時に、順応性傾斜によって有効溝
巾を減少し、最終的には、ウェハ表面の平面性を著しく
改善する。マスクを必要としない単なる追加のエッチン
グ段階を用いて、これらの追加の効果が与えられる。最
も狭い活性領域の巾が例えば1.0ミクロンである場合
には、有効活性領域の巾が側壁スペーサ16によって約
2.2ミクロンまで増加される。同時に、側壁スペーサ
の形成により溝の巾が減少される。
【0011】シリコン酸化物の第2の順応性被膜17
が、図4に示すように、ウェハの表面に付着され、この
被膜17は、側壁スペーサ16が下にあることにより側
壁との段が非常になだらかな傾斜となる。この被膜17
の厚みは被膜15とほぼ同じである。
【0012】図5を参照すれば、次の段階は、ホトレジ
スト被膜18を全面に付着し、マスクを通して露光して
広い溝12のパターンを定めることである。現像の後
に、広い溝においてのみホトレジストが残される。本発
明の1つの特徴によれば、以下に述べるように、ホトレ
ジスト18は、このホトレジスト18をパターン化する
のに用いられるマスクの整列ずれの影響を少なくするた
めにリフロー処理を受ける。図6を参照すれば、ホトレ
ジスト18は、これに熱処理を受けさせることによって
リフローされ、溝12は周囲の酸化物のレベルまで均一
に満たされ、図5において生じた段領域のギャップは残
らない。深部紫外線処理即ちDUV処理が行われて、硬
化されたホトレジスト18で満たされた巾の広い溝が残
され、即ちウェハの表面に紫外線を当てながらウェハが
おそらく200℃に加熱され、この処理が実行される。
【0013】次の段階は、図7に示すように、第2のホ
トレジスト被膜20を付着することである。この被膜は
全面を均一にカバーし、そして充填ホトレジスト18が
あるので、溝13の場所にくぼみが生じる以外はほぼ平
らな表面形状となる。又、側壁スペーサは、この点にお
いて平坦化の度合いを助ける。というのは、レジストの
厚みは活性領域の巾によって決まり、側壁スペーサは活
性領域を効果的に広げるからである。このホトレジスト
被膜20の厚みは酸化物層17の厚みとほぼ同じであ
り、これは、溝12、13及び14の深さにほぼ等し
い。
【0014】図8を参照すれば、ホトレジスト被膜20
のエッチバックが行われ、これは、順応性酸化物17に
達したときに停止される。次いで、別の深部紫外線処理
即ちDUV処理が行われ、硬化したホトレジスト22が
充填された巾の広い溝が残されると共に、硬化したホト
レジスト23及び24が同様に部分的に充填された中位
の巾及び巾狭の溝13及び14が残される。図9に示す
ように、次いで、第3のホトレジスト被膜25が、第2
の被膜20と同様に、表面に付着される。ここで、ウェ
ハの表面は比較的平らとなり、巾の広い溝と狭い溝との
レベル差はほとんどない。
【0015】3つのホトレジスト層及び順応性酸化物1
7のエッチバックは、図10に示すように同時に行われ
る。このエッチバックの初期部分については、ホトレジ
ストだけが除去されるように最初のエッチング組成が選
択される。というのは、この点では露光された酸化物が
ないからである。次いで、酸化物を露光する前にエッチ
ング組成物を第2のものに変え、これはホトレジスト及
び酸化物の両方を同じ割合で除去し、上記した充填物及
び2段のホトレジスト操作によって得た表面の平面性を
保持する。ホトレジスト及び酸化物は、最も狭い活性領
域から酸化物がほとんど取り去られる点まで除去され
る。この点で、エッチング組成物を第3のものに変え、
これは酸化物を優先的に除去するがホトレジストは除去
せず、図11に示すように表面の除去が続けられ、活性
領域の上の酸化物がここでエッチングされる。これは、
大きな活性領域から酸化物を除去するために行われ、こ
の同じエッチング条件のもとでは、窒化物のほうが酸化
物よりもゆっくりとエッチングされる(第2のエッチン
グ組成物ではこのようにならない)。図12に示すよう
に窒化物層11に達すると、エッチングが終了となり、
ホトレジストは除去するが酸化物は除去しない組成物を
用いてレジストが剥離され、図13に示す構造体が残さ
れる。
【0016】図13に示す最終的な構造体は、フィール
ド領域に酸化物のピーク27を有しており、これは酸化
物とホトレジストの界面が存在する場所の縁に生じる。
これらのピークはおそらく1500Åの高さであり、処
理を続ける前に湿式エッチングによって部分的に除去さ
れる。つや出しのごときによる機械的な除去を用いてピ
ーク27の頂部を除去してもよい。しかしながら、酸化
物のピークは活性領域ではなくてフィールド領域にあ
り、活性領域の縁から実質的に離れていることに注意さ
れたい。
【0017】上記したように、本発明の1つの特徴は、
図5のホトレジスト18のパターン化の後に使用される
リフロー手順である。図14を参照すれば、図5のホト
レジスト被膜18のパターン化の際に、この被膜がマス
ク30を通して露光され、このマスクは、酸化物層17
の傾斜領域の縁と整列され、即ち底部において溝12へ
と向かう傾斜側壁と整列されるものとする。このマスク
30は、活性領域を形成するのに用いたマスク、即ち溝
12、13及び14を形成するのに用いたマスクを若干
リサイズして極性反転したものである。現像の後に、残
りのホトレジスト被膜18はほぼ図15に示す形状とな
る。しかしながら、マスク30の整列づれ、厳密な寸法
制御、又は局部的な極微溝の形成(被膜18と酸化物1
7との間の溝)により、図16及び17に示すような種
々の問題が発生する。これらの問題は、いずれも、もし
軽減しなければ、特に最終的な構造体においてウェハの
表面に影響を及ぼす。このため、図6を参照して述べた
ように、リフロー段階が導入される。
【0018】図6のリフロー段階を用いる利点は多数あ
る。写真平版操作の場合には、重要な寸法制御及び整列
ずれに対してあまり厳密でないので、ウェハ再加工の割
合が減少され、コストが低減化されると共に収率が増加
する。エッチング操作の場合には、表面が平坦なほど、
エッチングロード作用、エッチング均一性及びエッチン
グ終了点容量といったプロセスウインドウをより広くし
てスタートすることになる。又、平坦化ブロックマスク
18は、通常は、溝の巾に反比例する厚みとなる(即
ち、溝の巾が小さいほど、ブロックマスク18は厚くな
る)。層18をリフローすることにより、被膜18の厚
みが極力溝の巾に依存しないようにすることができる。
【0019】特定の実施例について本発明を説明した
が、本発明をこれに限定するものではない。当業者であ
れば上記した実施例の種々の変更や本発明の他の実施例
も明らかであろう。それ故、本発明の範囲内に入るこの
ような変更や実施例は特許請求の範囲内に包含されるも
のとする。
【図面の簡単な説明】
【図1】半導体デバイス製造の種々の段階、特に、溝を
エッチングした後であって能動的デバイスを形成する前
にウェハの表面を平坦化する段階においてシリコンウェ
ハの一部分を示す断面図である。
【図2】半導体デバイス製造の種々の段階、特に、溝を
エッチングした後であって能動的デバイスを形成する前
にウェハの表面を平坦化する段階においてシリコンウェ
ハの一部分を示す断面図である。
【図3】半導体デバイス製造の種々の段階、特に、溝を
エッチングした後であって能動的デバイスを形成する前
にウェハの表面を平坦化する段階においてシリコンウェ
ハの一部分を示す断面図である。
【図4】半導体デバイス製造の種々の段階、特に、溝を
エッチングした後であって能動的デバイスを形成する前
にウェハの表面を平坦化する段階においてシリコンウェ
ハの一部分を示す断面図である。
【図5】半導体デバイス製造の種々の段階、特に、溝を
エッチングした後であって能動的デバイスを形成する前
にウェハの表面を平坦化する段階においてシリコンウェ
ハの一部分を示す断面図である。
【図6】半導体デバイス製造の種々の段階、特に、溝を
エッチングした後であって能動的デバイスを形成する前
にウェハの表面を平坦化する段階においてシリコンウェ
ハの一部分を示す断面図である。
【図7】半導体デバイス製造の種々の段階、特に、溝を
エッチングした後であって能動的デバイスを形成する前
にウェハの表面を平坦化する段階においてシリコンウェ
ハの一部分を示す断面図である。
【図8】半導体デバイス製造の種々の段階、特に、溝を
エッチングした後であって能動的デバイスを形成する前
にウェハの表面を平坦化する段階においてシリコンウェ
ハの一部分を示す断面図である。
【図9】半導体デバイス製造の種々の段階、特に、溝を
エッチングした後であって能動的デバイスを形成する前
にウェハの表面を平坦化する段階においてシリコンウェ
ハの一部分を示す断面図である。
【図10】半導体デバイス製造の種々の段階、特に、溝
をエッチングした後であって能動的デバイスを形成する
前にウェハの表面を平坦化する段階においてシリコンウ
ェハの一部分を示す断面図である。
【図11】半導体デバイス製造の種々の段階、特に、溝
をエッチングした後であって能動的デバイスを形成する
前にウェハの表面を平坦化する段階においてシリコンウ
ェハの一部分を示す断面図である。
【図12】半導体デバイス製造の種々の段階、特に、溝
をエッチングした後であって能動的デバイスを形成する
前にウェハの表面を平坦化する段階においてシリコンウ
ェハの一部分を示す断面図である。
【図13】半導体デバイス製造の種々の段階、特に、溝
をエッチングした後であって能動的デバイスを形成する
前にウェハの表面を平坦化する段階においてシリコンウ
ェハの一部分を示す断面図である。
【図14】図5及び6の一部分の詳細図で、本発明の一
実施例による平坦化ブロックマスクの形成を示す図であ
る。
【図15】図5及び6の一部分の詳細図で、本発明の一
実施例による平坦化ブロックマスクの形成を示す図であ
る。
【図16】図5及び6の一部分の詳細図で、本発明の一
実施例による平坦化ブロックマスクの形成を示す図であ
る。
【図17】図5及び6の一部分の詳細図で、本発明の一
実施例による平坦化ブロックマスクの形成を示す図であ
る。
【符号の説明】
10 シリコンウェハ 11 マスク 12、13、14 溝 15 順応性被膜 16 側壁スペーサ 17 第2の順応性被膜 18 ホトレジスト被膜 20 第2のホトレジスト被膜 23、24 ホトレジスト 25 第3のホトレジスト被膜 27 ピーク 30 マスク
───────────────────────────────────────────────────── フロントページの続き (72)発明者 グレゴリー ジョセフ グルーラ アメリカ合衆国 マサチューセッツ州 01507チャールトン ブルックフィールド ロード 170

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 集積回路製造において溝分離を行うため
    に基体の表面或いは基体上に付着又は成長した材料の表
    面を平坦化する方法において、 巾は異なるが深さはほぼ等しい溝を上記表面にエッチン
    グし、 上記溝の側壁、溝の平坦面及び溝と溝との間にコーティ
    ングを行って、上記表面に絶縁材料の順応性被膜を形成
    し、 上記溝の側壁に側壁スペーサを残すように上記被膜をエ
    ッチングし、 上記溝の側壁にあるスペーサ、溝の平坦面及び溝と溝と
    の間にコーティングを行って、上記表面に上記絶縁材料
    の第2の順応性被膜を形成し、 上記第2の順応被膜の上にホトレジストの第1層を付着
    し、溝の巾が他の巾狭の溝よりも広いことに基づいて選
    択した上記溝のうちの選択された溝においてのみ上記第
    1のホトレジスト層を残すようにこの第1ホトレジスト
    層をパターン化し、 上記第1のホトレジスト層の残り部分をリフローして、
    上記側壁に隣接した上記ホトレジストの縁にあるギャッ
    プを充填し、 上記第1のホトレジスト層及び上記順応性被膜の上に第
    2のホトレジスト層を付着し、 上記第2のホトレジスト層を上記順応性被膜のレベルま
    でエッチングし、 第3のホトレジスト層を付着し、 上記ホトレジスト層及び上記順応性被膜を上記溝と溝と
    の間の上記平坦領域の上面レベルまでエッチングして実
    質的に平坦な表面を残すようにしたことを特徴とする方
    法。
  2. 【請求項2】 上記基体はシリコンであり、上記絶縁材
    料はシリコン酸化物であり、溝をエッチングする上記段
    階は、上記溝を画成するパターンで上記表面に窒化シリ
    コンのエッチングマスクを形成することを含み、更に、
    上記第1、第2及び第3のホトレジスト層をエッチング
    する上記段階は、窒化シリコンの上記エッチングマスク
    上で停止することを含む請求項1に記載の方法。
  3. 【請求項3】 半導体本体の表面を平坦化する方法にお
    いて、 上記表面の活性領域を残すように上記表面に巾の異なる
    溝をエッチングし、 上記溝の側壁、溝内の平坦面及び溝と溝との間にコーテ
    ィングを行って、上記表面に絶縁材の順応性被膜を形成
    し、 上記溝の縁に側壁スペーサを残すように上記被膜をエッ
    チングし、 上記溝の側壁にあるスペーサ、上記溝内の平坦面及び溝
    と溝との間にコーティングを行って、上記表面に絶縁材
    の第2の順応性被膜を形成し、 上記表面上で上記第2の順応性被膜の上にホトレジスト
    の第1層を付着し、上記溝のうちの巾の広い溝において
    のみ上記第1層を残すようにこの第1層をパターン化
    し、 上記第1ホトレジスト層をリフローして上記ホトレジス
    トの縁にあるギャップを充填すると共に、上記第1ホト
    レジスト層の表面を平坦化し、 上記表面上で上記第1ホトレジスト層及び上記順応性被
    膜の上に第2のホトレジスト層を付着し、 上記第1層が存在しない上記溝のうちの巾の狭い溝にホ
    トレジストを残すように上記第2のホトレジスト層を上
    記順応性酸化物までエッチングし、 上記表面に第3のホトレジスト層を付着し、 上記第1、第2及び第3のホトレジスト層と上記順応性
    被膜とを上記活性領域の上面レベルまでエッチングし
    て、上記活性領域にデバイスを形成するための実質的に
    平坦な表面を残すようにしたことを特徴とする方法。
  4. 【請求項4】 上記半導体本体はシリコンであり、上記
    絶縁材はシリコン酸化物であり、溝をエッチングする上
    記段階は、上記溝を画成するパターンで上記表面に窒化
    シリコンのエッチングマスクを形成することを含み、更
    に上記第1、第2及び第3のホトレジスト層をエッチン
    グする上記段階は、窒化シリコンの上記エッチングマス
    ク上で停止することを含む請求項3に記載の方法。
  5. 【請求項5】 半導体本体の表面を平坦化する方法にお
    いて、 上記表面の活性領域を残すように上記表面に巾の異なる
    溝をエッチングし、 上記溝の側壁、溝内の平坦な表面及び溝と溝との間にコ
    ーティングを行って、上記表面にシリコン酸化物の順応
    性被膜を形成し、 上記表面上で上記順応性被膜の上にホトレジストの第1
    層を付着し、上記溝のうちの巾の広い溝においてのみ上
    記第1層を残すようにこの第1層をパターン化し、 上記第1ホトレジスト層をリフローして上記ホトレジス
    トの縁にあるギャップを充填すると共に、上記第1ホト
    レジスト層の表面を平坦化し、 上記表面上で上記第1ホトレジスト層及び上記順応性被
    膜の上に第2のホトレジスト層を付着し、 上記第1層が存在しない上記溝のうちの巾の狭い溝にホ
    トレジストを残すように上記第2のホトレジスト層を上
    記順応性被膜までエッチングし、 上記表面に第3のホトレジスト層を付着し、 上記第1、第2及び第3のホトレジスト層と上記順応性
    被膜とを上記活性領域の上面レベルまでエッチングし
    て、上記活性領域にデバイスを形成するための実質的に
    平坦な表面を残すようにしたことを特徴とする方法。
  6. 【請求項6】 上記半導体本体はシリコンであり、上記
    絶縁材はシリコン酸化物であり、溝をエッチングする上
    記段階は、上記溝を画成するパターンで上記表面上に窒
    化シリコンのエッチングマスクを形成することを含み、
    更に、上記第1、第2及び第3のホトレジスト層をエッ
    チングする上記段階は、窒化シリコンの上記エッチング
    マスク上で停止することを含む請求項5に記載の方法。
  7. 【請求項7】 上記溝の縁に側壁スペーサを残すように
    上記順応性被膜をエッチングし、 上記溝の側壁にあるスペーサ、上記溝内の平坦面及び溝
    と溝との間にコーティングを行って、上記表面に絶縁材
    の第2の順応性被膜を形成する段階を更に含む請求項5
    に記載の方法。
  8. 【請求項8】 半導体本体の表面を平坦化する方法にお
    いて、 上記表面の活性領域を残すように上記表面に巾の異なる
    溝をエッチングし、 上記溝の側壁、溝内の平坦面及び溝と溝との間にコーテ
    ィングを行って、上記表面に絶縁材の順応性被膜を形成
    し、 上記溝の縁に側壁スペーサを残すように上記被膜をエッ
    チングし、 上記溝の側壁にあるスペーサ、上記溝内の平坦面及び溝
    と溝との間にコーティングを行って、上記表面に絶縁材
    の第2の順応性被膜を形成し、 上記表面上で上記第2の順応性被膜の上にホトレジスト
    の第1層を付着し、上記溝のうちの巾の広い溝において
    のみ上記第1層を残すようにこの第1層をパターン化
    し、 上記表面上で上記第1ホトレジスト層及び上記順応性被
    膜の上に第2のホトレジスト層を付着し、 上記第1層が存在しない上記溝のうちの巾の狭い溝にホ
    トレジストを残すように上記第2のホトレジスト層を上
    記順応性被膜までエッチングし、 上記表面に第3のホトレジスト層を付着し、 上記第1、第2及び第3のホトレジスト層と上記順応性
    被膜とを上記活性領域の上面レベルまでエッチングし
    て、上記活性領域にデバイスを形成するための実質的に
    平坦な表面を残すようにしたことを特徴とする方法。
  9. 【請求項9】 上記半導体本体はシリコンであり、上記
    絶縁材はシリコン酸化物であり、溝をエッチングする上
    記段階は、上記溝を画成するパターンで上記表面上に窒
    化シリコンのエッチングマスクを形成することを含み、
    更に、上記第1、第2及び第3のホトレジスト層をエッ
    チングする上記段階は、窒化シリコンの上記エッチング
    マスク上で停止することを含む請求項8に記載の方法。
  10. 【請求項10】 上記第1ホトレジスト層をリフローし
    て上記ホトレジストの縁にあるギャップを充填すると共
    に、上記第1ホトレジスト層の表面を平坦化する段階を
    更に含む請求項8に記載の方法。
JP4164573A 1991-06-28 1992-06-23 集積回路製造において溝分離を行うための平坦化方法 Pending JPH05206261A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/723,209 US5175122A (en) 1991-06-28 1991-06-28 Planarization process for trench isolation in integrated circuit manufacture
US07/723209 1991-06-28

Publications (1)

Publication Number Publication Date
JPH05206261A true JPH05206261A (ja) 1993-08-13

Family

ID=24905314

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4164573A Pending JPH05206261A (ja) 1991-06-28 1992-06-23 集積回路製造において溝分離を行うための平坦化方法

Country Status (2)

Country Link
US (1) US5175122A (ja)
JP (1) JPH05206261A (ja)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5356828A (en) * 1993-07-01 1994-10-18 Digital Equipment Corporation Method of forming micro-trench isolation regions in the fabrication of semiconductor devices
US5346584A (en) * 1993-07-28 1994-09-13 Digital Equipment Corporation Planarization process for IC trench isolation using oxidized polysilicon filler
US5494857A (en) * 1993-07-28 1996-02-27 Digital Equipment Corporation Chemical mechanical planarization of shallow trenches in semiconductor substrates
US5294562A (en) * 1993-09-27 1994-03-15 United Microelectronics Corporation Trench isolation with global planarization using flood exposure
US5308786A (en) * 1993-09-27 1994-05-03 United Microelectronics Corporation Trench isolation for both large and small areas by means of silicon nodules after metal etching
KR100187678B1 (ko) * 1993-11-23 1999-06-01 김영환 반도체 장치의 소자 분리막 형성방법
US5395781A (en) * 1994-02-16 1995-03-07 Micron Technology, Inc. Method of making a semiconductor device using photoresist flow
US5472904A (en) * 1994-03-02 1995-12-05 Micron Technology, Inc. Thermal trench isolation
US5681776A (en) * 1994-03-15 1997-10-28 National Semiconductor Corporation Planar selective field oxide isolation process using SEG/ELO
US5385861A (en) * 1994-03-15 1995-01-31 National Semiconductor Corporation Planarized trench and field oxide and poly isolation scheme
US5492858A (en) * 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5872060A (en) * 1995-11-02 1999-02-16 Texas Instruments Incorporated Semiconductor device manufacturing method
EP0710979B1 (en) * 1994-11-07 2003-06-18 Texas Instruments Incorporated Improvements in or relating to semiconductor devices
US5472901A (en) * 1994-12-02 1995-12-05 Lsi Logic Corporation Process for formation of vias (or contact openings) and fuses in the same insulation layer with minimal additional steps
US5663107A (en) * 1994-12-22 1997-09-02 Siemens Aktiengesellschaft Global planarization using self aligned polishing or spacer technique and isotropic etch process
JPH09167753A (ja) * 1995-08-14 1997-06-24 Toshiba Corp 半導体基板の表面の平坦化方法とその装置
US5840623A (en) * 1995-10-04 1998-11-24 Advanced Micro Devices, Inc. Efficient and economical method of planarization of multilevel metallization structures in integrated circuits using CMP
GB9604764D0 (en) * 1996-03-06 1996-05-08 Leslie Jonathan L Semiconductor device fabrication
US5904539A (en) * 1996-03-21 1999-05-18 Advanced Micro Devices, Inc. Semiconductor trench isolation process resulting in a silicon mesa having enhanced mechanical and electrical properties
WO1997038442A1 (en) * 1996-04-10 1997-10-16 Advanced Micro Devices, Inc. Semiconductor trench isolation with improved planarization methodology
US5926713A (en) * 1996-04-17 1999-07-20 Advanced Micro Devices, Inc. Method for achieving global planarization by forming minimum mesas in large field areas
US5899727A (en) * 1996-05-02 1999-05-04 Advanced Micro Devices, Inc. Method of making a semiconductor isolation region bounded by a trench and covered with an oxide to improve planarization
US5691215A (en) * 1996-08-26 1997-11-25 Industrial Technology Research Institute Method for fabricating a sub-half micron MOSFET device with insulator filled shallow trenches planarized via use of negative photoresist and de-focus exposure
US5863828A (en) * 1996-09-25 1999-01-26 National Semiconductor Corporation Trench planarization technique
US6395620B1 (en) * 1996-10-08 2002-05-28 Micron Technology, Inc. Method for forming a planar surface over low density field areas on a semiconductor wafer
TW347576B (en) * 1996-12-18 1998-12-11 Siemens Ag Method to produce an integrated circuit arrangement
US6063702A (en) * 1997-01-27 2000-05-16 Chartered Semiconductor Manufacturing, Ltd. Global planarization method for inter level dielectric layers using IDL blocks
SE520115C2 (sv) * 1997-03-26 2003-05-27 Ericsson Telefon Ab L M Diken med plan ovansida
US5960315A (en) * 1997-07-10 1999-09-28 International Business Machines Corporation Tapered via using sidewall spacer reflow
US6440644B1 (en) 1997-10-15 2002-08-27 Kabushiki Kaisha Toshiba Planarization method and system using variable exposure
US6194283B1 (en) * 1997-10-29 2001-02-27 Advanced Micro Devices, Inc. High density trench fill due to new spacer fill method including isotropically etching silicon nitride spacers
US6063693A (en) * 1998-03-23 2000-05-16 Telefonaktiebolaget Lm Ericsson Planar trenches
US6440638B2 (en) 1998-09-28 2002-08-27 International Business Machines Corp. Method and apparatus for resist planarization
US6114220A (en) * 1998-11-18 2000-09-05 United Microelectronics Corp. Method of fabricating a shallow trench isolation
US6277707B1 (en) 1998-12-16 2001-08-21 Lsi Logic Corporation Method of manufacturing semiconductor device having a recessed gate structure
US6322953B1 (en) * 1999-03-29 2001-11-27 Winbond Electronics Corporation Method for obtaining uniform photoresist coatings
US6197660B1 (en) 1999-04-29 2001-03-06 Taiwan Semiconductor Manufacturing Company Integration of CMP and wet or dry etching for STI
US20020072237A1 (en) * 1999-12-22 2002-06-13 Bowles Christopher Mark Method for unpatterned resist etch back of shallow trench isolation refill insulator
US6482716B1 (en) * 2000-01-11 2002-11-19 Infineon Technologies North America Corp. Uniform recess depth of recessed resist layers in trench structure
JP2002100672A (ja) * 2000-09-21 2002-04-05 Nec Corp 素子分離用トレンチの形成方法
US6436751B1 (en) * 2001-02-13 2002-08-20 United Microelectronics Corp. Fabrication method and structure of a flash memory
DE10242629B4 (de) * 2002-09-13 2006-12-28 Infineon Technologies Ag Herstellungsverfahren für eine Halbleiterstruktur mit einem teilweise gefüllten Graben
DE10342547B4 (de) * 2003-09-12 2007-02-08 Infineon Technologies Ag Verfahren zum Herstellen einer integrierten Schaltungseinrichtung mit Durchkontaktierungselementen und Anschlusseinheiten
CN100459100C (zh) * 2006-09-30 2009-02-04 中芯国际集成电路制造(上海)有限公司 平坦化方法及顶层金属层隔离结构的形成方法
TWI428346B (zh) * 2006-12-13 2014-03-01 Imp Innovations Ltd 新穎化合物及其等對進食行為影響
CN103855070A (zh) * 2012-11-29 2014-06-11 上海华虹宏力半导体制造有限公司 超低密度有源区的浅沟槽隔离平坦化的方法
US9006080B2 (en) 2013-03-12 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Varied STI liners for isolation structures in image sensing devices
TWI687987B (zh) * 2015-02-17 2020-03-11 愛爾蘭商滿捷特科技公司 填充蝕刻洞的製程
CN113410130B (zh) * 2021-06-15 2023-03-21 西安微电子技术研究所 一种沟槽填充介质后的平坦化回刻方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57187951A (en) * 1981-05-14 1982-11-18 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
JPS58143548A (ja) * 1982-02-22 1983-08-26 Toshiba Corp 半導体装置の製造方法
JPS58210634A (ja) * 1982-05-31 1983-12-07 Toshiba Corp 半導体装置の製造方法
JPS6155939A (ja) * 1984-08-28 1986-03-20 Toshiba Corp 半導体装置の製造方法
JPS63281441A (ja) * 1987-05-13 1988-11-17 Hitachi Ltd 半導体装置及びその製造方法
JPS6413727A (en) * 1987-07-08 1989-01-18 Hitachi Ltd Manufacture of semiconductor device
JPS6425433A (en) * 1987-07-21 1989-01-27 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
US5077234A (en) * 1990-06-29 1991-12-31 Digital Equipment Corporation Planarization process utilizing three resist layers

Also Published As

Publication number Publication date
US5175122A (en) 1992-12-29

Similar Documents

Publication Publication Date Title
JPH05206261A (ja) 集積回路製造において溝分離を行うための平坦化方法
US5851899A (en) Gapfill and planarization process for shallow trench isolation
JPH0217637A (ja) 高度に平面化された集積回路構造を作るための方法
JP2007519041A (ja) シリコン光学デバイス
US6103581A (en) Method for producing shallow trench isolation structure
KR100289660B1 (ko) 반도체 소자의 트렌치 형성방법
KR20010046153A (ko) 반도체장치의 트렌치 구조의 소자분리막 형성방법
KR100319622B1 (ko) 반도체 장치의 분리구조 형성방법
KR100313523B1 (ko) 반도체 장치의 분리구조 형성방법
KR20050028618A (ko) 반도체 소자의 소자분리막 형성방법
KR100608343B1 (ko) 반도체소자의 격리영역 형성방법
KR100278883B1 (ko) 반도체 소자 분리를 위한 얕은 트렌치 제조 방법
KR100509811B1 (ko) Sti 제조 방법
KR100454849B1 (ko) 반도체 소자의 제조방법
KR100798802B1 (ko) 반도체소자의 소자분리막 형성방법
KR100286901B1 (ko) 반도체 소자 분리를 위한 얕은 트렌치 제조 방법
KR100808378B1 (ko) 반도체 소자의 트렌치 형성 방법
KR100396792B1 (ko) 반도체소자의 격리영역 화학기계적 연마방법
KR100333378B1 (ko) 반도체 소자의 제조방법
KR100829375B1 (ko) 반도체 소자의 트렌치 형성 방법
KR101016352B1 (ko) 반도체 소자의 소자 분리막 형성 방법
KR100674901B1 (ko) 반도체 소자의 게이트 형성방법
KR100922074B1 (ko) 반도체 소자의 소자 분리막 형성방법
KR20020017763A (ko) 반도체 소자의 소자분리막 형성방법
KR19980016946A (ko) 반도체 소자 제조방법