JPH0217637A - 高度に平面化された集積回路構造を作るための方法 - Google Patents

高度に平面化された集積回路構造を作るための方法

Info

Publication number
JPH0217637A
JPH0217637A JP1117165A JP11716589A JPH0217637A JP H0217637 A JPH0217637 A JP H0217637A JP 1117165 A JP1117165 A JP 1117165A JP 11716589 A JP11716589 A JP 11716589A JP H0217637 A JPH0217637 A JP H0217637A
Authority
JP
Japan
Prior art keywords
oxide
layer
integrated circuit
depositing
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP1117165A
Other languages
English (en)
Other versions
JPH0650759B2 (ja
Inventor
Jacob D Haskell
ジェイコブ・ディー・ハスケル
Craig S Sander
クレイグ・エス・サンダー
Steven C Avanzino
スティーブン・シー・アバンツィーノ
Sabashiyu
サバシュ・グプタ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JPH0217637A publication Critical patent/JPH0217637A/ja
Publication of JPH0650759B2 publication Critical patent/JPH0650759B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/051Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/959Mechanical polishing of wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Local Oxidation Of Silicon (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 発明の背最 発明の分野 この発明は集積回路構造に関する。より特定的には、こ
の発明は集積回路構造内に高度に平面化された酸化物部
分を形成するための改良された方法に関する。
関連技術の説明 集積回路構造の従来の構造において、たとえばフィール
ド酸化物は通常、基板の活性素子領域をマスクしかつそ
れから基板の残った部分を酸化することによって、隣接
する活性素子の間に酸化物絶縁を与えるために、シリコ
ン基板の表面上および中に成長させられる。
シリコン基板の酸化、すなわちデポジションよりしむし
ろ酸化物成長によるこのような酸化物領域の形成におい
て、酸化物は表面から上に拡張するのみならず基板の中
の方に成長する。たとえば、約1ミクロンの厚さの酸化
物層が成長するとき、結晶性シリコン内のシリコン原子
の所与の数は同じ数のシリコン原子の酸化物より少ない
容量を占めるという事実から、酸化物成長は基板の中に
その距離の約半分、すなわち、もとのシリコン基板表面
の下に約0.5ミクロン延びかつもとのシリコン基板表
面の上に約0.5ミクロン延びるであろう。
この現象が集積回路構造の垂直トボロギーをいくぶん変
化させる一方、より大きな問題は、酸化物量のこの拡大
はまた垂直のみならず水平に起こるということである。
このように、第1図に示される先行技術構造において示
されるように、基板のマスクされない領域におけるフィ
ールド酸化物の成長はまた、酸化物の下向きおよび上向
きの範囲の両方が先細りになりながら、基板のマスクさ
れた領域に部分的に延び、さらに酸化物は水平に延び、
こうして産業界で“バーズビーク°として知られている
ものを形成する。
この“バーズビーク”領域は、第1図に示されるように
、活性素子がその中において構成され得るフィールド酸
化物部分間の基板の活性領域を幅Xまで狭め、このマス
クの幅マイナスXが成長した酸化物の横の浸食領域を示
す。これを直すために、マスクの大きさはこの浸食を調
節するために変えられなければならない、すなわち、フ
ィールド酸化物のための開口はより小さくされなければ
ならない。
これは、集積回路構造の密度がVLS Iのために増加
するに従って順次問題になる。たとえば、ラインおよび
間隔が1ミクロン以下になるときりソグラフィの問題が
起こる。さらに、もしフィールドインブラント、すなわ
ちフィールド酸化物の下のドーピングフィールド酸化物
が成長しながら横に移動し、そうして活性素子領域をさ
らに減少させるなら、まださらに浸食があるかもしれな
い。
集積回路構造における“成長する°フィールド酸化物領
域に関連する問題は認詭され、かつこれらの問題を取除
くために試みがなされてきた。たとえば、IDEM82
の頁233から236において発表された「光−CVD
酸化物を用いた十分に窪みが設けられたフィールド分離
技術」 (“AFULLY  RECESSED  F
IELDISOLATION  TECHNOLOGY
  USING  PH0TO−CVD  0XIDE
”)と名付けられた論文においてチェン(ch e n
)その他は、フォトレジストマスクを除去する前にフォ
ト−CVD酸化物(フォトツクス:photOX)によ
って満たされる溝をエッチングするためのフォトレジス
ト層の使用について論じている。
過剰な“フォトツクス“はそれから、剥離によってフォ
トレジストで除去される。
シバタ(Shibata)その他は、IDEM83の頁
27から30において発表されている「メガビットダイ
ナミックメモリのための簡略化されたボックス(埋込酸
化物)分離技術」 (“ASIMPLIFIED  B
OX(BURIED−OXIDE)ISOLATION
  TECHNOLOGY  FORMEGABIT 
 DYNAMICMEMORIES”)と名付けられた
論文の中で、シリコン基板内の異方性エッチングされた
四部に、堆積された酸化物を再補充することによって分
離酸化物を形成することを論じている。
もとのボックス(BOX)方法は、第1段階においてプ
ラズマ5io2剥離および、第2段階においてCVD 
 5i02の再デポジションに続く平面化エッチバック
という2つのステップを用馳た。
著者は、この処理は幅の狭い凹部に対しては有効に働く
が、幅の広い開かれた領域にフィールド酸化物を残すこ
とができないことに注目した。著者は第2レジストを有
する2つのレジスト層を用いると、広い開かれた領域に
ある酸化物を覆うマスクを与え、かつ第2レジスト層は
明らかに平面化層としての役割を果たすことを示唆する
発明物達は、先行技術のフィールド酸化物成長において
経験され゛る“バーズビーク°浸食の形成を排除しなが
ら、基板の活性領域間に高度に平面化されたフィールド
酸化物領域を形成するためのより満足のいく方法を見つ
けた。この方法により発明者は、たとえば基板内に形成
されるいずれかの活性素子のような活性領域間または導
電線が基板を覆って形成されるとき起こるような、集積
回路構造内の段になった領域間に高度に平面化されたフ
ィールド酸化物型分離を形成することができ、それによ
って結果として生じた構造のトボロギはこのような段ま
たは他の非平面構造の形成を最小化するであろう、すな
わち、高度に平面化された集積回路構造になるであろう
発明の概要 それゆえに、この発明の目的は、集積回路構造に高度に
平面化された酸化物部分を作るための方法を提供するこ
とである。
この発明のさらなる目的は、堆積された酸化物を用いて
集積回路構造内に高度に平面化された酸化物部分を作る
ための方法を提供することである。
この発明の別の目的は、集積回路構造上に平面化材料の
層をさらに与えることによって、集積回路構造の隣接部
分のレベルに平面化された堆積した酸化物を用いて、集
積回路構造内に高度に平面化された酸化物部分を作るた
めの方法を提供することである。
この発明のさらに別の目的は、集積回路構造上に平面化
材料の層をさらに与えかつそれから、実質的に平坦な表
面を形成しかつ堆積された酸化物およびどんな残った平
面化材料をも、下にある構造のレベルまで除去するため
に、その構造に、磨くステップおよびエッチングステッ
プの各々を受けさせることによって、集積回路構造の隣
接部分のレベルまで平面化される堆積された酸化物を用
いて、集積回路構造内に高度に平面化された酸化物部分
を生み出すための方法を提供することである。
この発明のさらに別の目的は、基礎をなすシリコン基板
の基板内の活性領域の間に設けられる浅い開口内に堆積
される、集積回路構造のための高度に平面化されたフィ
ールド酸化物を提供することであり、高度に平面化され
たフィールド酸化物はまず基板内にこのような浅い開口
を形成し、基板上に酸化物の共形層を形成するために浅
い開口の深さより厚い酸化物の層を堆積し、堆積された
酸化物層を覆う平面化層を形成し、最も高い領域を覆う
平面化層を、少なくとも、下にある堆積された酸化物の
最も高いレベルまで除去するために構造を機械的に磨き
、露呈された酸化物を酸化物層の露呈されていない領域
の最も低い部分の元の高さとおよそ等しいレベルまでエ
ッチングし、平面化層の残った部分を除去するために構
造をさらに機械的に磨き、かつそれから、残った構造を
基板の活性領域の頂部表面のレベルまでエッチングし、
それによって基板の活性領域における基板のレベルで、
基板レベル内の酸化物部分を含む高度に平面化された構
造を形成することによって提供される。
この発明のさらに別の目的は、形成される酸化物部分と
交互に重なる突起または段になったパターンを含む高度
に平面化された集積回路構造を提供することであり、こ
のような集積回路構造は、そのような突起したパターン
を含む集積回路構造上に酸化物層(酸化物層は、構造上
に酸化物の共形層を形成するために、突起したパターン
の厚さより大きな厚さを有する)を堆積し;堆積された
酸化層上に平面化層を形成し;最も高い領域を覆う平面
化層を、少なくとも、下にある堆積された酸化物の最も
高いレベルまで除去するために構造を機械的に磨き;露
呈した酸化物を、酸化物層の露呈していない領域の最も
低い部分の元の高さと。
およそ同じレベルまでエッチングし;かつそれから高度
に平面化された頂部表面になるよう平面化層の残った部
分を除去するために構造をさらに機械的に磨くことによ
って提供される。随意に、残った構造は突起したパター
ンの頂部表面のレベルまでエッチングされてもよく、そ
れによって、露呈し突起したパターン間の空間に、酸化
物を有する高度に平面化された構造を形成する。
この発明のこれらおよび他の目的は以下の説明および添
付の図面から明らかになるであろう。
発明の詳細な説明 この発明は、酸化物が集積回路構造内または上に形成さ
れる活性または導電領域間に用いられる、集積回路構造
内に高度に平面化されたトボロギを形成するための改良
された方法を提供する。例示された具体例において、シ
リコン基板の活性領域間に堆積されたフィールド酸化物
を有する高度に平面化された集積回路構造の形成または
、突起したパターンの間または上に酸化物を有し、基板
内または上に前に形成された集積回路構造上に形成され
る金属線のような、しかしこれには限られないが、突起
したパターンを有する高度に平面化された構造を形成す
る際適用されるこの発明の方法が、例示によってしかし
限定ではなく、示されている。
ここで第2図を参照して、シリコン基板2は、基板内に
形成されるべき活性領域を覆うフォトレジストマスク1
0および、シリコン基板2内に形成されるべき所望のフ
ィールド酸化物領域に一致するマスク10内の開口14
を有する形で示される。第2図に示すように、開口8a
および8bは既にシリコン基板2において、マスク開口
14を通して、たとえば約0.45から0.55ミクロ
ンの深さまでエッチングされた。
基板2を覆って、マスク10を形成する前に、酸化物層
4が基板2の表面上に、たとえば第2図に示すように3
00−500Aの厚さまで成長し、次いで次のエッチン
グステップのために任意のエッチブロックを形成しても
よい約1000から約2000、好ましくは約1300
から約1500Aの窒化シリコン6のデポジションが続
く。酸化物の層は単に任意の窒化物エッチングブロック
層のための下層として用いられるので、窒化物層6の除
去は、所望すれば、酸化物層4の除去にもなり得る。代
替的に、もし望むなら、酸化物層4は、窒化物層6が省
略されたときでさえレジストマスク10の下に用いられ
てもよい。
開口8aおよび8bは一実施例において、実質的に直角
の側壁、すなわちエッチングの前の基板表面の平面と開
口8aおよび8bの底部との両方に対して直角な側壁を
形成するために、反応性イオンエッチング(RI E)
処理のような異方性エッチングによってシリコン基板2
においてエッチングされる。
基板2においてエッチングされる開口8aおよび/また
は8b内に形成されるべきフィールド酸化物領域の下で
フィールドインブラントを行なうことが望ましいこの実
施例の変形において、たとえば基板内で望ましくない反
転が起こり得るNチャネル素子を形成するとき、基板は
第2A図および第2B図に示されるように、フィールド
酸化物を形成する前にインブラントされてもよい。
第2A図に示すように、基板2の第1注入および拡散ド
ープは、いかなるエッチングステップにも先立って、マ
スク10内の開口14を介して行なわれ得る。エッチン
グステップに先立つこのドープステップを行なうことに
よって、ドーパントのいくつかは、電圧の反転が避けら
れるべき重要な領域を示す、20で示される基板の隣接
する活性素子領域の端縁内に拡散するであろう。このド
ープステップは、たとえば、ホウ素をインブラントし、
続いてフィールド反転を避けるのに十分な高さの最終的
なホウ素の濃度、典型的には1016cm””になるよ
うに約800から900℃の間で少なくとも15分間拡
散することによって行なわれてもよい。
代替的に、第2B図に示すように、開口8′のエッチン
グの後(開口8aおよび8bと同じ深さまで行なわれて
もよい)、第2ドーピングステツプが、フィールド酸化
物が堆積されるであろうものの上またはそれに対して新
たに堆積された基板表面をドープするために行なわれて
もよい。第2B図に示すように、基板開口8′の側壁9
は、開口8′の側壁9のドーピングを容易にするために
内向きの傾き約3から5°を有する側壁を形成するため
に異方性エッチングをわずかに調節することによって都
合良く形成されるであろう。開口8′によって露呈する
基板2の底部および側壁はそれから、典型的には10”
 cm−”であるフィールド反転を避けるのに十分な濃
度までホウ素でドープされてもよい。
開口8aおよび8b(または8′)を形成した後、第3
図に示されるようにマスク10が取除かれかつ、酸化物
30の共形層は開口8aおよび8bの深さより十分大き
な厚さまで全体の構成上に堆積され、最も広い開口8b
内の最も低い点の酸化物のレベルは、基板の隣接する活
性領域の最も高い点より上になり、第2図に示されたよ
うに、その上に酸化物4または窒化物6のようなどのよ
うな層も含む。
広い領域8bを覆う酸化物層30の高さは過度に狭いエ
ッチングされた領域8aはど高くない。
先行技術に関連して前に論じられたように、広い領域が
エッチングされそれから、フィールド酸化物領域を形成
するために酸化物で満たされるとき、酸化物層30は下
にある地勢に一致する傾向がある。この発明に従って結
果として生じる構造は高度に平面化されるであろうので
、広い領域8bを覆う酸化物層30の厚さは領域8bの
エッチングされない表面からの深さを凌ぐことがこの発
明の実施に対して重要である。これは第4図に示される
、第3図の拡大された領域において例示され、そこでは
エッチングされた領域8bの表面からの深さはX、によ
って示される一方、領域8bを覆う酸化層30の厚さは
X2によって示される。
この発明に従うと、広い領域8bを覆う酸化層30の厚
さ(X2)は領域8bの深さX、より大きくなければな
らない。XIとX2の差は、好ましくは最小約0.15
ミクロンから最大約0.45ミクロンである。もし望む
なら、より大きな厚さの酸化物が用いられてもよいが必
要ではない。
このように、たとえば領域8bの深さが約0.5ミクロ
ンのとき、領域8bを覆う酸化層30の厚さは約0.6
5から0.95ミクロンの範囲に及ぶであろう。
好ましくは、堆積された酸化物は、たとえば約700か
ら約750℃の間の温度で堆積されるテトラエチルオル
ト珪酸(TeO8)のように高度に共形である。代替的
に、堆積された酸化物は約350から約450℃の温度
で堆積される低圧気相成長(cVD)酸化物層を含んで
もよい。
第5図に示すように、好ましくはポリシリコンを含む平
面化層40はそれから、共形酸化物層30を覆って堆積
される。平面化層40は、酸化物より早い速度で研摩さ
れかつ共形酸化物の速度以下または同じ速度で選択的に
エッチングされてもよいいかなる材料を含んでもよい。
ポリシリコンに加えて、たとえばアルミニウム金属が平
面化層40のために用いられてもよい。
平面化層40は以下で、ポリシリコン層40として、例
示によってしかし限定によってではなく記述されるであ
ろう。ポリシリコン層40の厚さは典型的には、少なく
とも基板内のエッチングされた領域の深さX、と同じか
またはそれより大きくなければならないが、より薄いポ
リシリコンフィルムもまた適している。
ポリシリコン層40のデポジションの後、基板は、たと
えば構造の最も高い点だけが、研摩手段が窪んだ領域に
一致することなく接触するように、十分に平坦な研摩手
段を用いて、機械的または化学的/機械的に磨かれる。
磨きステップで用いられる研摩手段は、たとえば、磨き
材料として、ペーハが約7に調節され、平坦なテフロン
ディスク上に広がる、微細に分けられたAl1jzOa
または5i02粒子の水性スラリを用いて純粋に機械的
に磨くことを含んでもよくまたは、磨き材料はまたペー
ハを約9−11に増加させるのに十分な量の中に加えら
れた、KOHまたはNaOHのような水酸化物の少量を
含んでもよい。
この第1の磨きステップは、下にある共形酸化物層30
の最も高い部分が、第6図に示すように酸化物層30の
低いレベルにポリシリコン部分40aおよび40bを残
しながら露呈されるまで行なわれる。ポリシリコン対酸
化物の除去に対する>200 : 1の典型的な選択率
は化学/機械的に磨くことによって得られ得る。
構造はそれから、たとえば反応性イオンエッチング(R
I E)のような、ポリシリコン除去よりも酸化物除去
に好都合になるように調節された酸素レベルを有するC
HF3の化学反応を用いるエッチング剤系または、たと
えば40:1の1(20/HFの容量比まで水(H2O
)で希釈されたフッ化水素酸(HF)のようなウェット
エッチによって選択的にエッチングされる。RIEエッ
チングの場合、たとえばエッチング比は酸化物対ポリシ
リコンエッチング除去比、約5=1から約10:1のエ
ッチング比を与えるために、すなわち酸化物を、ポリシ
リコンエッチング除去速度より5から10倍速い速さで
除去するために好ましく調整されてもよい。第7図を参
照して、エッチングステップは酸化物層を、X、より高
いがX2より低いレベルX、まで、以下で述べるように
およそ0゜1ミクロンを越えない量によってエッチバッ
クするのに十分な時間の間行なわれる。実線32は、R
IEエッチングのようなドライエッチングが用いられる
ときの酸化物層部分30aおよび30bの端縁を示し、
一方点線34はウェットエッチング剤が用いられるとき
の酸化物層部分30aおよび30bの端縁を示す。
このエッチングステップに関して、エッチングされた領
域8bを覆うポリシリコン平面化層部分40bの堆積さ
れた厚さの最小値は、以下の公式によって、(1)エッ
チングされた基板の段の高さX、、(2)酸化物除去対
ポリシリコン除去のエッチング比、および(3)領域8
bを覆う磨きステップによって除去されたポリシリコン
の量、に関連することが注目されなければならない。
P   −X、/E    +M talnratl。
ここにおいて、 Pm1n−平面化層40の最小の厚さ X、纏レベルX、に達するために除去された酸化物の量
におよそ等しい、基板内のエッチングされた領域の、表
面からの深さ、 E   −酸化物層30対平面化層40の工rati。
ッチング比 M−磨きステップによって除去されたポリシリコンの厚
さ この関係は、酸化物が選択的にエッチングされるので、
選択的エッチングが終了する前にポリシリコンのすべて
が除去されずかつ領域8bのポリシリコンの下の酸化物
が全く除去されないように、ポリシリコンの厚さに関連
して、十分に遅い速度でポリシリコンがエッチングされ
るであろうことを確実にする。
この関係C1よ、選択的エッチングステップが全体的な
酸化物レベルを(ポリシリコンによって覆われていない
領域における)X2より下でかつXlより高い高さX、
まで下げることを意図しているので重要である。この発
明の方法を特徴づける高度に平面化された表面を確保す
るために、酸化物のすべては最終的に、基板のエッチン
グされていないまたは活性領域のレベルまで除去される
であろうが、酸化物の最終部分の除去をさらに制御する
ことは、以下で述べられるようにポリシリコンの残り部
分を除去し、続いて表面領域の全体を覆う酸化物を一様
に除去するための第2磨きステップを用いることによっ
て維持され得る。
たった今説明されたこの選択的エッチングステップは、
第7図に示される先行のエッチングステップの選択率に
よって、この構造に残された小さな酸化物部分に隣接す
るポリシリコンの高いスポット40aおよび40bを生
じ、ポリシリコン部分40aおよび40bによって覆わ
れた酸化物層30のこれらの部分に小さな酸化物部分3
0aおよび30bを残すであろう。
これらのポリシリコン部分40aおよび40bは、残っ
た酸化物部分30aおよび30b同様、それから、再び
機械的または化学的/機械的のいずれかである第2の磨
きステップによって除去される。この磨きステップはこ
れらの高いスポットのレベルがX2とX、の間のどこか
のレベルに達するまで減少され、かつポリシリコン平面
化層40(40aおよび40b)が第8図に示すように
薄い酸化物層30cを残しながらすべて除去されるまで
行なわれる。
酸化物層30はそれからさらに、第97図に示すように
、基板の隣接する活性領域のレベルまでこの構造を露呈
および平面化するためにエッチングされる。このエッチ
ングステップは好ましくは前に述べられたRIEまたは
ウェットエッチング方法のいずれかを用いて行なわれる
以上は、堆積された酸化物層30の最も低いレベルが、
最も広いエッチングされた領域8bの底部からの高さで
あるX2になるときの過程を説明する。しかしながら、
いくつかの例において、より狭い開口またはエッチング
された領域はX2のレベルまで酸化物によって満たされ
ないかもしれず、それは順次、ポリシリコンまたは他の
平面化材料の第2磨きステップの後にこれらの低い領域
にいくらかの平面化材料が残るであろうことを意味する
。これは第10図において破線31の下に示される。
第10図の領域31がポリシリコンによって満たされ、
それからそれがまだ上で述べた第2磨きステップの後も
残るとき、この問題は以下のように解決されるかもしれ
ない。31に残る追加のポリシリコンは、第1ステツプ
が酸化物対シリコンが1対1のエッチングを含み、それ
によって領域31のポリシリコンが周辺酸化物と同じ速
さで除去される2つのステップに最終のエッチングステ
ップを分けることによって除去されてもよい。この比率
は領域31のポリシリコンのすべてが除去された後変え
られ、前に述べられた実施例におけるようにシリコン基
板の活性(エッチングされていない)領域が達成された
ときに最終エッチングがまだ終了され得るように、選択
的酸化物比たとえば5:1から10:1に戻されるであ
ろう。
ここで第11図−第17図に向け、この発明の方法の別
な実施例が第11図から始まって示され、シリコン基板
のような基板の表面内または上に予め形成された活性素
子を含んでもよい集積回路構造60が、基板表面を覆っ
て突起したパターン70を設けた形で示される。
パターン化された層70は、たとえば、金属線または相
互接続を形成するために金属パターン化された3000
から100004の導体層を含む。
層70が導体を含むとき、集積回路構造を形成するため
に従来から使用された、たとえば共形および平面化層を
その上に堆積するときに実質的に用いられる温度に耐え
ることができるアルミニウム、ポリシリコンまたはタン
グステンのようないかなる導体を含んでもよい。
突起したパターン70はまた、導体の突起したパターン
をまたぐ絶縁層または段の組合わせを含んでもよく、ま
たは絶縁材料のみを含んでもよい。
パターン化された層70は、しかしながら例示によって
しかし限定ではなく金属パターンとして、以下で説明さ
れるであろう。
第12図に示すように、700℃以上で750℃までの
温度に耐え得る材料を覆うために用いられる、前に説明
されたTeO3酸化物、またはより低い温度のCVD酸
化物(たとえば約350℃で堆積された)のような酸化
物の共形層80が、第1実施例におけるように、金属パ
ターン70の厚さであるX、より大きな厚さX2に、金
属ライン間の最も広い領域において再びなる厚さまで、
構造60および金属パターン70を覆って堆積される。
通常、厚さX2は約0,2ミクロンから最大約1.2ミ
クロンだけ厚さX、より大きくなるであろう。共形酸化
物層の厚さはたとえば約7000から約15000Aの
間を変化してもよい。
第1実施例におけるように、たとえばポリシリコンのよ
うな平面化材料の層90はここで、第13図に示される
ように構造を覆って堆積される。
このポリシリコン層の最小の厚さは、前に述べられたP
、、のための同じ式を用いることによって決められ得る
ここで、アルミニウムのような低溶融金属が金属パター
ン70のために用いられるなら、そのときたとえばプラ
ズマTeO3のような約350℃で堆積されるものであ
る、より低いデポジション温度のTe0S酸化物は前に
述べられた共形酸化物の代わりに代用されてもよくかつ
、平面化層のためにはタングステンのような材料がより
高い温度のポリシリコンの代わりに堆積されてもよく、
またはポリシリコンはスパッタリングされまたはプラズ
マCVDは350℃以下の温度で酸化物層80を覆って
堆積され得る。例示のためにしかし限定のためにではな
く、平面化層は以下で前の実施例におけるようにポリシ
リコンとして説明されるであろう。
この構造はここで、第1実施例の第1磨きステップ同様
、下にある共形酸化物層80の最も高い領域が第14図
に示されるようにポリシリコン部分90aを残して露呈
されるまで、ポリシリコンを除去するために磨かれる。
この構造はそれから、第1実施例に示すように、たとえ
ばウェットエッチまたは共形酸化物層80およびポリシ
リコン90aを約5:1から10=1の間の比率で除去
するであろうエッチング剤系を有する反応性イオンエッ
チングを用いてエッチングされる。このエッチングはこ
こで、第1実施例におけるように、X、より高くしかし
X2より約0.1ミクロン以上低くないレベルX、まで
酸化物を除去するために行なわれ、たとえば約0゜5ミ
クロンの共形酸化物層80は、0.45ミクロンの金属
の厚さX、まで除去されてもよい。第1実施例における
ように、このエッチング比の結果、第15図に示すよう
に、下に酸化物部分80aを有する、ポリシリコン90
aの高いスポットまたは支柱を生じる。
残ったポリシリコン90aおよび、下または隣接する高
い酸化物領域80aはそれから前の実施例におけるよう
に、第2磨きステップによって除去され、全体構造を覆
う平面化された酸化物層80Cを有する、第16図に示
されるような構造になる。
この構造はそれから、たとえば、パターン層70の上表
面が露呈されるまで、パターン層70を形成する材料よ
り速い速度で酸化物を選択的に除去するであろう反応性
イオンエッチング系を用いてパターン層70を覆う残っ
た共形酸化物のすべてを除去するために再びエッチング
される。
代替的に・、導体パターンを覆う酸化物層を有するのが
望ましい場合、最終エッチングステップは第16図に示
すような平面化された構造になるために省略され得る。
最終エッチングステップが行なわれるとき、第17図に
示すように、パターン層70と同じレベルでパターン層
70の線の間に形成される酸化物80dを有する高度に
平面化された構造になる。
このように、この発明はその上に、好ましくはポリシリ
コンの平面化層が与えられる共形酸化物層を用い、その
次に構造の第1磨きステップ、それから共形酸化物層の
第1エッチング、残った平面化材料を除去するための第
2磨きステップ、酸化物を集積回路下の最も高レベルま
で除去するための第2エッチングステツプを用いること
によって、基板内の活性素子領域に隣接する高度に平面
化された酸化物領域、または酸化物と交互に重なる金属
ラインのような突起したパターンの高度に平面化された
構造を形成する際に用いられてもよい、集積回路格造内
に高度に平面化されたトボロギを形成するための改良さ
れた方法を提供する。
【図面の簡単な説明】
第1図は、基板の活性素子領域に浸食する、シリコン基
板内のフィールド酸化物領域の成長による“バーズビー
ク“の形成を示す先行技術構造の断片的な垂直断面図で
ある。 第2図は、シリコン基板が基板の活性領域を覆うために
マスクされかつ開口がマスク開口を介してシリコン基板
においてエッチングされたこの発明の第1実施例の初期
段階を示す断片的な垂直断面図である。 第2A図は、フィールド酸化物のための開口を形成する
前の、光学フィールドインブラントを示す、第2図の構
造を生み出すための方法における代替ステップの断片的
な垂直断面図である。 第2B図は、フィールド酸化物のための開口を形成した
後のさらなるフィールドインブラントを示す第2A図の
構造の断片的な垂直断面図である。 第3図はマスクが除去されかつ共形酸化物層が基板を覆
って堆積されかつ基板内にエッチングされた開口を有す
る第2図に示されるこの方法の後期段階における構造を
示す断片的な垂直断面図である。 第4図はX、がX、とX2の中間レベルを示し、エッチ
ングされた領域の深さXIと構造を覆う酸化物層の最小
の厚さX2との差を示す、第3図の構造の一部分の拡大
された断片的な垂直断面図である。 第5図は平面化材料の層が共形酸化物層を覆って堆積さ
れた後の第3図の構造の断片的な垂直断面図である。 第6図は、下にある共形酸化物層の最も高い部分を露呈
するため、十分に平面化された材料を除去するために、
機械的または化学的/機械的に磨かれた第5図の構造を
示す断片的な垂直断面図である。 第7図は平面化材料より速い速度で酸化物を除去し、そ
れゆえ、より遅いエッチング平面化材料が下にある酸化
物を覆い、かつ平面化材料によって覆われていない、酸
化物がX、のレベルまで除去された平面化材料によって
キャップされた酸化物の高いスポットを残すエッチング
の後の、第6図の構造を示す断片的な垂直断面図である
。 第8図は平面化材料/酸化物の高いスポットを除去する
第2磨きステップの後の、第7図の構造を示す断片的な
垂直断面図である。 第9図は共形酸化物が、基板の活性領域の頂部表面が高
度に平面化された構造を残しながら露呈されるまで、第
2エッチングによってさらに除去される第8図の構造を
示す、この実施例の最終ステップを行なった後の構造を
示す、断片的な垂直断面図である。 第10図は破線の下の、共形酸化物層の厚さがX2以下
のときに起こる、残りの平面化材料を示す、代替実施例
の断片的な垂直断面図である。 第11図は、突起したパターンが集積回路構造を覆って
形成された構造を示す、この発明の他の第2実施例の、
断片的な垂直断面図である。 第12図は酸化物の共形層がその上に堆積された第11
図の構造を示す、断片的な垂直断面図である。 第13図は、平面化材料の層が第13図の構造の共形層
を覆って堆積された、この実施例のさらなるステップを
示す、断片的な垂直断面図である。 第14図は、下にある共形層の最も高い部分が露呈され
るまで、第13図の構造を磨くことを示す、断片的な垂
直断面図である。 第15図は、酸化物を、平面化材料より速い速度で除去
し、それゆえより遅いエッチング平面化材料が下にある
酸化物を覆う酸化物の高いスポットを残すエッチングの
後の、第14図の構造を示す、断片的な垂直断面図であ
る。 第16図は酸化物の高いスポットを除去しかつ高度に平
面化された構造を形成するための第2磨きステップの後
の、第15図の構造を示す断片的な垂直断面図である。 第17図は、付加された共形酸化物を十分に除去し、そ
の下の突起したパターンの頂部表面を露呈し、結果とし
て高度に平面化された構造を形成するための、第16図
の構造上において行なわれたさらなるしかし任意の、エ
ッチングステップを示す断片的な垂直断面図である。 図において、10はフォトレジストマスク、14はマス
ク開口、30は酸化物層、40はポリシリコン層を示す
。 特許出願人 アドバンスト・マイクロ・ディバJ’1 峠

Claims (25)

    【特許請求の範囲】
  1. (1)集積回路構造の隣接部分のレベルに平面化された
    堆積された酸化物を用いて、高度に平面化された酸化物
    部分を有する高度に平面化された集積回路構造を作るた
    めの方法であって、(a)集積回路構造の残りよりも高
    い高 さに第1部分を有する集積回路構造の上に、前記構造の
    残りの上の前記第1部分の高さ を越える厚さを有する共形酸化物層を堆積 し、 (b)前記堆積した酸化物層の上に平面 化材料の層を堆積し、 (c)前記下にある共形酸化物層の最も 高い部分を露呈するために前記構造を磨き、(d)前記
    共形酸化物層の部分を除去す るために平面化材料の前記層に関連して前 記共形酸化物層を選択的に除去することが できるエッチング剤系で、第1エッチング ステップにおいて前記構造をエッチングし、(e)前記
    平面化材料の残りを除去する ために前記構造を再び磨き、かつ (f)前記突起した部分を覆う酸化物の すべてが、前記集積回路構造の前記突出部 分間に酸化物領域が形成される高度に平面 化された構造を残してすべて除去されるま で、第2エッチングステップにおいて前記 構造の残りをエッチングし、前記酸化物領 域の上部表面は実質的に前記集積回路構造 の前記突起部分の上部表面と同じ高さであ る、高度に平面化された集積回路構造を作 るための方法。
  2. (2)前記共形酸化物層を堆積する前記ステップは、約
    700から約750℃の温度で行なわれる、請求項1に
    記載の方法。
  3. (3)前記共形酸化物層を堆積する前記ステップはさら
    に、前記集積回路構造を覆って約7000から約900
    0Åの酸化物を堆積することを含む、請求項1に記載の
    方法。
  4. (4)平面化層の前記さらなる層を堆積する前記ステッ
    プは、前記共形酸化物層の上にポリシリコンの層を堆積
    することを含む、請求項1に記載の方法。
  5. (5)ポリシリコンの前記平面化層を堆積する前記ステ
    ップはさらに、前記共形酸化物層の上に約0.5から約
    1.0ミクロンのポリシリコンを堆積することを含む、
    請求項4に記載の方法。
  6. (6)ポリシリコン材料の前記平面化層に関連して、前
    記共形酸化物層を選択的に除去することができるエッチ
    ング剤系を用いて、前記構造をエッチングする前記ステ
    ップはさらに、前記酸化物が前記第1磨きステップにお
    いて予め露呈された領域における前記酸化物レベルが、
    前記第1磨きステップの後に残った前記ポリシリコン下
    の前記酸化物のレベルより低く、しかし前記下にある集
    積回路構造の前記第1部分より上になるまで、前記共形
    酸化物層を、ポリシリコンの平面化層のためのエッチン
    グ速度の少なくとも約5倍の速さでエッチングする反応
    性イオンを含む、請求項4に記載の方法。
  7. (7)ポリシリコン材料の前記平面化層に関連して、前
    記共形酸化物層を選択的に除去することができるエッチ
    ング剤系を用いて前記構造をエッチングする前記ステッ
    プはさらに、前記酸化物が前記第1磨きステップにおい
    て予め露呈された領域における前記酸化物のレベルが、
    前記第1磨きステップの後に残った前記ポリシリコン下
    の前記酸化物のレベルより下であるが、前記下にある集
    積回路構造の前記第1部分より上になるまで、共形酸化
    物層を、ポリシリコンの平面化層のためのエッチング速
    度の少なくとも約5倍の速さでウェットエッチすること
    を含む、請求項4に記載の方法。
  8. (8)前記第2磨きステップの後に前記構造に残ったど
    んなポリシリコン平面化材料も、前記ポリシリコンのす
    べてが除去されそれから前記下にある集積回路構造の前
    記第1部分のレベルで前記エッチングを止めることを容
    易にするために酸化物の除去に優位に働くようにエッチ
    ング率を変えるまで、酸化物と実質的に同じ速度でポリ
    シリコンを除去するであろうエッチング剤を用いて第2
    エッチングステップの間、前記酸化物およびポリシリコ
    ンをエッチングすることによって前記第2エッチングス
    テップにおいて除去される、請求項4に記載の方法。
  9. (9)前記第2磨きステップの後の前記第2エッチング
    ステップはさらに、酸化物対ポリシリコンのエッチング
    比が約1:1で行なわれる第1エッチングおよび前記集
    積回路構造の前記隣接部分のエッチングを抑制するため
    に、酸化物対シリコンのエッチング比が少なくとも5:
    1の比で行なわれる次のエッチングを含む、請求項8に
    記載の方法。
  10. (10)前記集積回路構造の活性領域をマスクし、約0
    .45から約0.55ミクロンの深さを有する1つまた
    はそれ以上の開口を形成するために前記マスクを介して
    前記集積回路構造の露呈された部分をエッチングし、か
    つマスクを除去する、追加のステップをさらに含み、共
    形酸化物層を堆積する前記ステップは、前記エッチング
    された開口の深さを凌ぐ厚さまで、前記エッチングされ
    た開口の中および前記集積回路構造の前記エッチングさ
    れていない活性領域を覆って前記共形酸化物を堆積する
    ことを含み、前記次の平面化ステップは、フィールド酸
    化物領域を有し、その中に前記活性領域の頂部表面と同
    じレベルの前記フィールド酸化物領域の頂部表面を有す
    る平面化された集積回路構造を形成する、請求項1に記
    載の方法。
  11. (11)前記集積回路構造を覆って酸化物層を形成し、
    かつ前記基板の前記活性領域のマスクに先立って前記酸
    化物層を覆って窒化物層を形成するステップをさらに含
    み、かつ、前記集積回路構造の前記露呈された部分を、
    前記マスクを介してエッチングする前記ステップはさら
    に、前記マスクの下に形成された前記窒化物および酸化
    物層をエッチングすることを含む、請求項10に記載の
    方法。
  12. (12)前記集積回路基板を覆って突起したパターンを
    形成するさらなるステップを含み、かつ前記共形酸化物
    層を堆積する前記ステップはさらに、前記集積回路構造
    の上に前記突起したパターンの高さを凌ぐ深さまで前記
    共形酸化物を堆積することを含む、請求項1に記載の方
    法。
  13. (13)前記エッチングおよび磨きステップは、平面化
    された集積回路構造が前記突起したパターンの頂部表面
    と同じレベルの前記酸化物領域の頂部表面によって形成
    されるまで行なわれる、請求項12に記載の方法。
  14. (14)突起したパターンを形成する前記ステップはさ
    らに、前記共形酸化物層および前記平面化層を、前記突
    起したパターン上に堆積させる前記ステップにおいて用
    いられる温度に耐えることができる導体材料のパターン
    を形成することを含む、請求項12に記載の方法。
  15. (15)基板の活性領域間にかつ、活性領域と同じレベ
    ルで形成されるフィールド酸化物領域を有する高度に平
    面化された集積回路構造を作るための方法であって、 (a)前記基板内のフィールド酸化物領 域の好ましい形に従う開口をその中に有す るマスクでシリコン基板をパターン化し、 (b)前記シリコン基板を前記マスクを 介して約0.45ミクロンから約0.55 ミクロンの深さまでエッチングし、 (c)前記マスクを除去し、 (d)前記構造上に約7000から約9 000Åの共形酸化物層を堆積し、 (e)前記共形酸化物層上に平面化材料 のさらなる層を堆積し、 (f)前記下にある共形酸化物層の最も 高い部分を露呈するために初めて機械的に 前記構造を磨き、 (g)前記共形酸化物層の約0.5ミク ロンが除去されるまで、酸化物対平面化材 料の除去率が少なくとも約8:1の率で前 記堆積された共形酸化物および平面化材料 の前記層を除去することができるエッチン グ系によって、前記構造を初めてエッチン グし、 (h)前記第1エッチングステップの後 前記構造上に残った前記平面化材料のすべ てを実質的に除去するために2度目に、前 記構造を磨き、 (i)前記平面化材料のすべてが除去さ れるまで前記酸化物とおよそ同じ率で前記 平面化材料のいかなる残った部分をも除去 することができるエッチング系を用いて前 記構造を2度目にエッチングし、 (j)前記活性領域上の前記共形層のす べてが、基板内の活性領域間にフィールド 酸化物領域が形成される高度に平面化され た構造を残して除去されるまで、シリコン より速い速度で酸化物をエッチングするで あろうエッチング剤を用いて前記構造の残 りをエッチングし、フィールド酸化物領域 の上表面が活性領域の表面と実質的に同じ 高さである、高度に平面化された集積回路 構造を作るための方法。
  16. (16)前記共形酸化物層を堆積する前記ステップはさ
    らに、約700から750℃の温度で約7000から約
    9000Åのテトラエチルオルト珪酸を堆積することを
    含む、請求項15に記載の方法。
  17. (17)前記共形酸化物層を堆積する前記ステップはさ
    らに、約300から約350℃の温度で約7000から
    約9000ÅのCVD酸化物を堆積することをさらに含
    む、請求項15に記載の方法。
  18. (18)平面化材料の前記さらなる層を堆積する前記ス
    テップは、前記共形酸化物層を覆って約0.45から約
    0.55ミクロンのポリシリコンを堆積することを含む
    、請求項15に記載の方法。
  19. (19)突起したパターンをその上に有する高度に平面
    化された集積回路構造を形成するための方法であって、 (a)集積回路構造の上に突起したパタ ーンを形成し、 (b)前記突起したパターンを破損させ ない温度で、その最も低い点が前記突起し たパターンの高さを凌ぐ十分な厚さを有す る前記突起したパターンの上に共形酸化物 層を堆積し、 (c)前記突起したパターンを破損させ ないような温度において、前記共形酸化物 層を覆って平面化材料の層を堆積し、 (d)下にある共形酸化物の最も高いレ ベルが露呈するまで前記平面化層を磨き、 (e)前記磨きステップの間に露呈した 領域の前記酸化物のレベルが、前記突起し たパターンの厚さとおよそ等しい量まで減 少させられるまで前記平面化材料の除去の 速さの少なくとも約5倍で、前記酸化物を 除去するであろうエッチング剤を用いて前 記共形酸化物/平面化層をエッチングし、 かつ (f)前記構造から前記平面化材料の残 りのすべてを除去しかつ、前記集積回路構 造上に高度に平面化された表面を形成する ための第2磨きステップにおいて前記構造 を磨くことを含む、高度に平面化された集 積回路構造を作るための方法。
  20. (20)酸化物と相互に重なる前記突起したパターンを
    含む高度に平面化された表面を形成するために、前記第
    2磨きステップの後、前記突起したパターンのエッチン
    グを抑制するために前記突起したパターンの除去の速度
    より速い速度において酸化物を除去するであろうエッチ
    ング剤を用いて、前記突起したパターンの上部レベルま
    で共形酸化物をさらに除去するステップをさらに含む、
    請求項19に記載の方法。
  21. (21)集積回路構造上に突起したパターンを形成する
    前記ステップは、前記構造上に導体パターンを形成する
    ステップを含む、請求項19に記載の方法。
  22. (22)導体パターンを形成する前記ステップはさらに
    、前記構造上に金属パターンを形成することを含む、請
    求項21に記載の方法。
  23. (23)前記共形酸化物層を堆積する前記ステップはさ
    らに、約700から約750℃の温度で約7000から
    約15000Åのテトラエチルオルト珪酸を堆積するこ
    とを含み、前記集積回路構造上に導体パターンを形成す
    る前記ステップはさらに、750℃の高さの温度に耐え
    ることができる導体パターンを含む、請求項21に記載
    の方法。
  24. (24)前記共形酸化物層を堆積する前記ステップはさ
    らに、約300から約350℃の温度において約700
    0から約15000Å(7)CVD酸化物を堆積するこ
    とを含み、かつ前記集積回路構造上に導体パターンを形
    成する前記ステップはさらに、350℃の高さの温度に
    耐え得る導体パターンを形成することを含む、請求項2
    1に記載の方法。
  25. (25)平面化材料の前記層を堆積する前記ステップは
    、前記共形酸化物層を覆って約0.45から約0.55
    ミクロンのポリシリコンを堆積することを含む、請求項
    19に記載の方法。
JP1117165A 1988-05-12 1989-05-10 高度に平面化された集積回路構造を作るための方法 Expired - Lifetime JPH0650759B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/193,478 US4962064A (en) 1988-05-12 1988-05-12 Method of planarization of topologies in integrated circuit structures
US193,478 1988-05-12

Publications (2)

Publication Number Publication Date
JPH0217637A true JPH0217637A (ja) 1990-01-22
JPH0650759B2 JPH0650759B2 (ja) 1994-06-29

Family

ID=22713803

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1117165A Expired - Lifetime JPH0650759B2 (ja) 1988-05-12 1989-05-10 高度に平面化された集積回路構造を作るための方法

Country Status (3)

Country Link
US (1) US4962064A (ja)
EP (1) EP0341898A3 (ja)
JP (1) JPH0650759B2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6183885B1 (en) 1997-04-28 2001-02-06 Harness System Technologies Research, Ltd. Fitting-type connection terminal
JP2008131556A (ja) * 2006-11-24 2008-06-05 Mitsubishi Electric Corp データ変換装置及びデータ変換方法及びプログラム

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5399528A (en) * 1989-06-01 1995-03-21 Leibovitz; Jacques Multi-layer fabrication in integrated circuit systems
DE69004932T2 (de) * 1989-10-25 1994-05-19 Ibm Verfahren zur Herstellung breiter mit Dielektrikum gefüllter Isolationsgraben für Halbleiteranordnungen.
US5173439A (en) * 1989-10-25 1992-12-22 International Business Machines Corporation Forming wide dielectric-filled isolation trenches in semi-conductors
JPH03214625A (ja) * 1990-01-18 1991-09-19 Mitsubishi Electric Corp 半導体装置の製造方法
KR930011460B1 (ko) * 1991-01-22 1993-12-08 삼성전자 주식회사 반도체 장치의 소자분리 영역 형성방법
KR100252692B1 (ko) * 1991-11-29 2000-04-15 이데이 노부유끼 폴리쉬공정을 구비한 트렌치아이솔레이션의 형성방법 및 반도체장치의 제조방법
DE69232648T2 (de) * 1991-11-29 2003-02-06 Sony Corp Verfahren zur Herstellung einer Grabenisolation mittels eines Polierschritts und Herstellungsverfahren für eine Halbleitervorrichtung
JP3060714B2 (ja) * 1992-04-15 2000-07-10 日本電気株式会社 半導体集積回路の製造方法
EP0582724A1 (de) * 1992-08-04 1994-02-16 Siemens Aktiengesellschaft Verfahren zur lokal und global planarisierenden CVD-Abscheidung von SiO2-Schichten auf strukturierten Siliziumsubstraten
EP0597603A3 (en) * 1992-11-13 1998-03-11 Digital Equipment Corporation Trench isolation planarization using a hard mask
JPH07111962B2 (ja) * 1992-11-27 1995-11-29 日本電気株式会社 選択平坦化ポリッシング方法
EP0637062B1 (de) * 1993-07-27 1997-06-04 Siemens Aktiengesellschaft Verfahren zur Herstellung eines Halbleiterschichtaufbaus mit planarisierter Oberfläche und dessen Verwendung bei der Herstellung eines Bipolartransistors sowie eines DRAM
US5346584A (en) * 1993-07-28 1994-09-13 Digital Equipment Corporation Planarization process for IC trench isolation using oxidized polysilicon filler
US5294562A (en) * 1993-09-27 1994-03-15 United Microelectronics Corporation Trench isolation with global planarization using flood exposure
US5492858A (en) * 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5494854A (en) * 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
US5413953A (en) * 1994-09-30 1995-05-09 United Microelectronics Corporation Method for planarizing an insulator on a semiconductor substrate using ion implantation
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5663107A (en) * 1994-12-22 1997-09-02 Siemens Aktiengesellschaft Global planarization using self aligned polishing or spacer technique and isotropic etch process
US5885899A (en) * 1995-11-14 1999-03-23 International Business Machines Corporation Method of chemically mechanically polishing an electronic component using a non-selective ammonium hydroxide slurry
US5851899A (en) * 1996-08-08 1998-12-22 Siemens Aktiengesellschaft Gapfill and planarization process for shallow trench isolation
US6395620B1 (en) * 1996-10-08 2002-05-28 Micron Technology, Inc. Method for forming a planar surface over low density field areas on a semiconductor wafer
KR100236097B1 (ko) * 1996-10-30 1999-12-15 김영환 반도체 장치의 격리막 형성방법
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5721172A (en) * 1996-12-02 1998-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned polish stop layer hard masking method for forming planarized aperture fill layers
EP0855739A1 (en) * 1997-01-24 1998-07-29 Texas Instruments Inc. Tapered dielectric etch process for moat etchback
US5804490A (en) * 1997-04-14 1998-09-08 International Business Machines Corporation Method of filling shallow trenches
US6103592A (en) * 1997-05-01 2000-08-15 International Business Machines Corp. Manufacturing self-aligned polysilicon fet devices isolated with maskless shallow trench isolation and gate conductor fill technology with active devices and dummy doped regions formed in mesas
US5976982A (en) * 1997-06-27 1999-11-02 Siemens Aktiengesellschaft Methods for protecting device components from chemical mechanical polish induced defects
KR100444311B1 (ko) * 1997-06-28 2004-11-08 주식회사 하이닉스반도체 반도체소자의소자분리막제조방법
TW351849B (en) * 1997-09-11 1999-02-01 United Microelectronics Corp Method for fabricating shadow trench insulation structure
JP3462174B2 (ja) 1997-09-24 2003-11-05 インフィネオン テクノロジース アクチエンゲゼルシャフト シリコン基板内にトレンチ構造部を形成するための方法
US5880007A (en) * 1997-09-30 1999-03-09 Siemens Aktiengesellschaft Planarization of a non-conformal device layer in semiconductor fabrication
US6022788A (en) * 1997-12-23 2000-02-08 Stmicroelectronics, Inc. Method of forming an integrated circuit having spacer after shallow trench fill and integrated circuit formed thereby
US6057207A (en) * 1998-03-25 2000-05-02 Taiwan Semiconductor Manufacturing Company Shallow trench isolation process using chemical-mechanical polish with self-aligned nitride mask on HDP-oxide
US6004863A (en) * 1998-05-06 1999-12-21 Taiwan Semiconductor Manufacturing Company Non-polishing sacrificial layer etchback planarizing method for forming a planarized aperture fill layer
GB2340657B (en) * 1998-06-10 2000-07-05 United Microelectronics Corp Dual damascene technique
SG82606A1 (en) * 1998-06-24 2001-08-21 Chartered Semiconductor Mfg A method to prevent dishing in chemical mechanical polishing
US6017803A (en) * 1998-06-24 2000-01-25 Chartered Semiconductor Manufacturing, Ltd. Method to prevent dishing in chemical mechanical polishing
US6261923B1 (en) 1999-01-04 2001-07-17 Vanguard International Semiconductor Corporation Method to solve the dishing issue in CMP planarization by using a nitride hard mask for local inverse etchback and CMP
US6180489B1 (en) 1999-04-12 2001-01-30 Vanguard International Semiconductor Corporation Formation of finely controlled shallow trench isolation for ULSI process
US6261914B1 (en) 1999-07-27 2001-07-17 International Business Machines Corporation Process for improving local uniformity of chemical mechanical polishing using a self-aligned polish rate enhancement layer
US6753270B1 (en) 2000-08-04 2004-06-22 Applied Materials Inc. Process for depositing a porous, low dielectric constant silicon oxide film
US6593210B1 (en) * 2000-10-24 2003-07-15 Advanced Micro Devices, Inc. Self-aligned/maskless reverse etch process using an inorganic film
US6664190B2 (en) 2001-09-14 2003-12-16 Chartered Semiconductor Manufacturing Ltd. Pre STI-CMP planarization scheme
JP3956709B2 (ja) * 2002-01-23 2007-08-08 セイコーエプソン株式会社 半導体装置の製造方法
FR2910180A1 (fr) * 2006-12-15 2008-06-20 St Microelectronics Procede de fabrication d'un transistor cmos a grilles metalliques duales.
US20090166317A1 (en) * 2007-12-26 2009-07-02 Canon Kabushiki Kaisha Method of processing substrate by imprinting
US8497210B2 (en) 2010-10-04 2013-07-30 International Business Machines Corporation Shallow trench isolation chemical mechanical planarization
KR20150021811A (ko) * 2013-08-21 2015-03-03 삼성전자주식회사 반도체 소자의 제조방법
US20150214114A1 (en) * 2014-01-28 2015-07-30 United Microelectronics Corp. Manufacturing method of semiconductor structure

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS53148988A (en) * 1977-05-31 1978-12-26 Matsushita Electric Ind Co Ltd Manufacture of semiconductor substrate
EP0023146B1 (en) * 1979-07-23 1987-09-30 Fujitsu Limited Method of manufacturing a semiconductor device wherein first and second layers are formed
JPS5830136A (ja) * 1981-08-14 1983-02-22 Toshiba Corp 半導体装置の製造方法
JPS5848936A (ja) * 1981-09-10 1983-03-23 Fujitsu Ltd 半導体装置の製造方法
JPS59124142A (ja) * 1982-12-29 1984-07-18 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPS59175137A (ja) * 1983-03-23 1984-10-03 Mitsubishi Electric Corp 半導体装置の製造方法
JPS59177940A (ja) * 1983-03-28 1984-10-08 Nec Corp 素子分離領域の製造方法
JPS6039835A (ja) * 1983-08-12 1985-03-01 Hitachi Ltd 基板表面の平坦化方法
US4662064A (en) * 1985-08-05 1987-05-05 Rca Corporation Method of forming multi-level metallization
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
NL8701717A (nl) * 1987-07-21 1989-02-16 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting met een geplanariseerde opbouw.

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6183885B1 (en) 1997-04-28 2001-02-06 Harness System Technologies Research, Ltd. Fitting-type connection terminal
JP2008131556A (ja) * 2006-11-24 2008-06-05 Mitsubishi Electric Corp データ変換装置及びデータ変換方法及びプログラム

Also Published As

Publication number Publication date
US4962064A (en) 1990-10-09
JPH0650759B2 (ja) 1994-06-29
EP0341898A3 (en) 1991-01-16
EP0341898A2 (en) 1989-11-15

Similar Documents

Publication Publication Date Title
JPH0217637A (ja) 高度に平面化された集積回路構造を作るための方法
US4954459A (en) Method of planarization of topologies in integrated circuit structures
US5492858A (en) Shallow trench isolation process for high aspect ratio trenches
US5422289A (en) Method of manufacturing a fully planarized MOSFET and resulting structure
US6174785B1 (en) Method of forming trench isolation region for semiconductor device
EP0545263B1 (en) Method of forming trench isolation having polishing step and method of manufacturing semiconductor device
KR960016502B1 (ko) 집적 회로 분리 방법
EP0739032B1 (en) Isolation method of semiconductor device
KR20030013761A (ko) 트렌치 소자분리 구조체 및 그 형성 방법
JPH09181180A (ja) 半導体集積回路及びその製造方法
US5342808A (en) Aperture size control for etched vias and metal contacts
KR100360739B1 (ko) 트렌치 캐패시터 및 반도체 트랜지스터 구조체와 그 형성 방법
US5217919A (en) Method of forming island with polysilicon-filled trench isolation
US5858842A (en) Methods of forming combined trench and locos-based electrical isolation regions in semiconductor substrates
US4676869A (en) Integrated circuits having stepped dielectric regions
JPH09205145A (ja) 集積回路及びその製造方法
US5424240A (en) Method for the formation of field oxide film in semiconductor device
JP3363420B2 (ja) 自己整合トレンチを有するパターン付きシリコン・オン・インシュレータ基板の製造方法
US5641704A (en) Method of isolating active areas of a semiconductor substrate by shallow trenches and narrow trenches
EP0369953B1 (en) Tapering of holes through dielectric layers for forming contacts in integrated devices
US5830773A (en) Method for forming semiconductor field region dielectrics having globally planarized upper surfaces
US6171896B1 (en) Method of forming shallow trench isolation by HDPCVD oxide
US6103581A (en) Method for producing shallow trench isolation structure
USRE33622E (en) Integrated circuits having stepped dielectric regions
JPH0951034A (ja) 半導体装置の製造方法