CN118213350A - 用于互连的扩散阻挡衬层 - Google Patents

用于互连的扩散阻挡衬层 Download PDF

Info

Publication number
CN118213350A
CN118213350A CN202410366205.5A CN202410366205A CN118213350A CN 118213350 A CN118213350 A CN 118213350A CN 202410366205 A CN202410366205 A CN 202410366205A CN 118213350 A CN118213350 A CN 118213350A
Authority
CN
China
Prior art keywords
substrate
conductive
interface
bonding
conductive interconnect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202410366205.5A
Other languages
English (en)
Inventor
R·坎卡尔
C·E·尤佐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Insulation Semiconductor Bonding Technology Co
Original Assignee
Insulation Semiconductor Bonding Technology Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Insulation Semiconductor Bonding Technology Co filed Critical Insulation Semiconductor Bonding Technology Co
Publication of CN118213350A publication Critical patent/CN118213350A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02123Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body inside the bonding area
    • H01L2224/02125Reinforcing structures
    • H01L2224/02126Collar structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02163Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body on the bonding area
    • H01L2224/02165Reinforcing structures
    • H01L2224/02166Collar structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02163Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body on the bonding area
    • H01L2224/0219Material of the auxiliary member
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03616Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/03901Methods of manufacturing bonding areas involving a specific sequence of method steps with repetition of the same manufacturing step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/05187Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05575Plural external layers
    • H01L2224/05576Plural external layers being mutually engaged together, e.g. through inserts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0601Structure
    • H01L2224/0603Bonding areas having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0613Square or rectangular array
    • H01L2224/06131Square or rectangular array being uniform, i.e. having a uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/0801Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08121Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the connected bonding areas being not aligned with respect to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08146Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a via connection in the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/08235Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bonding area connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/08237Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bonding area connecting to a bonding area disposed in a recess of the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8036Bonding interfaces of the semiconductor or solid state body
    • H01L2224/80365Shape, e.g. interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8038Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/80385Shape, e.g. interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8038Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/80399Material
    • H01L2224/804Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/80438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/80447Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8038Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/80399Material
    • H01L2224/804Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/80438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/80455Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80905Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904
    • H01L2224/80906Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/80948Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/1015Shape
    • H01L2924/10155Shape being other than a cuboid
    • H01L2924/10157Shape being other than a cuboid at the active surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15158Shape the die mounting substrate being other than a cuboid
    • H01L2924/15159Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/37Effects of the manufacturing process
    • H01L2924/37001Yield

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Non-Metallic Protective Coatings For Printed Circuits (AREA)
  • Combinations Of Printed Boards (AREA)

Abstract

本公开涉及一种用于互连的扩散阻挡衬层。技术和装置的代表性实施方式用于减少或防止导电材料扩散到结合衬底的绝缘材料或电介质材料中。由于重叠,错位的导电结构可以直接接触衬底的电介质部分,尤其是在采用直接结合技术的情况下。通常在重叠处将可以抑制扩散的阻挡界面设置在导电材料和电介质之间。

Description

用于互连的扩散阻挡衬层
分案说明
本申请是于2018年10月01日提交的申请号为201880055006.5、名称为“用于互连的扩散阻挡衬层”的中国发明专利申请的分案申请。
优先权要求和相关申请的交叉引用
本申请根据35U.S.C.§119(e)(1)要求2018年9月27日提交的美国非临时专利申请号16/143,850和2017年10月6日提交的美国临时申请号62/569,232的权益,这些申请通过引用整体并入本文。
技术领域
以下描述涉及集成电路(“IC”)的加工。更具体地说,以下描述涉及用于加工准备结合的管芯或晶圆的技术。
背景技术
管芯或晶圆等可以作为各种微电子封装方案的一部分以三维布置堆叠。这可以包括在较大的基础管芯或晶圆上堆叠一个或多个管芯或晶圆、以竖直布置堆叠多个管芯或晶圆以及这些的各种组合。管芯也可以堆叠在晶圆上,或者晶圆可以在分割之前堆叠在其他晶圆上。管芯或晶圆可以使用各种结合技术以堆叠布置来结合,包括使用直接电介质结合、非粘合技术,诸如直接结合技术或/>混合结合技术,这两种技术均可从XperiCorp的子公司Invensas Bonding Technologies,Inc.(以前的Ziptronix,Inc.)获得(例如,参见美国专利号6,864,585和7,485,968,其以引用方式全文并入本文中)。
当使用直接结合技术结合堆叠的管芯或晶圆时,期望的是待结合管芯或晶圆的表面极其平坦和光滑。例如,表面应具有非常小的表面拓扑变化,使得表面可以紧密配合,以形成持久的键合。还希望表面清洁并且没有杂质、颗粒和/或其他残留物。例如,不期望的颗粒的存在可导致在颗粒的位置处键合有缺陷或不可靠。例如,残留在键合表面上的一些颗粒和残留物可在堆叠管芯之间的键合界面处产生空隙。
结合的管芯或晶圆的相应配合表面通常包括嵌入的导电互连结构等。在一些示例中,结合表面被布置和对齐成使得在结合期间接合来自各个表面的导电互连结构。接合的互连结构在堆叠的管芯或晶圆之间形成连续的导电互连(用于信号,功率等)。然而,由于使用精细间距的导电互连结构、拾取和放置工具的放置精度限制、管芯或晶圆表面上的接触栅格图案、不同的焊盘尺寸等,一个管芯或晶圆的导电互连焊盘可能偏移,或者部分覆盖另一管芯或晶圆的配合表面的电介质部分(例如,氧化硅等)的,而不是与另一管芯或晶圆的配合表面上的相应导电互连焊盘完全对准。
诸如此类的错位可能导致覆盖的互连焊盘的导电材料(例如,铜等)扩散到其与之接触的电介质中,从而潜在地导致微电子结构的劣化的性能。例如,在较高温度(诸如在退火期间)和电场内,氧化硅的阻挡特性可能显著劣化(相对于氮化硅、氧氮化硅、碳氮化硅等),从而促进导电材料扩散到氧化硅中。这可导致互连器之间的泄漏、短路等。当其涉及管芯或晶圆的结合叠堆的多个导电互连结构时,性能劣化可能是特别有问题的,这会不利地影响封装产率和封装性能。
附图说明
参考附图阐述了详细描述。在这些图中,参考标号的一个或多个最左边的数字标识首次出现参考标号的图。在不同图中使用相同的附图标记表示相似或相同的项目。
对于该讨论,图中所示的装置和系统被示出为具有多个部件。如本文所述,装置和/或系统的各种实施方式可以包括较少的部件并且仍然在本公开的范围内。另选地,装置和/或系统的其他实施方式可以包括附加部件或所描述部件的各种组合,并且仍然在本公开的范围内。
图1为一对堆叠的衬底的轮廓图,示出了堆叠的衬底内的嵌入式导电结构的错位。
图2是示出形成微电子组件的示例过程的图形流程图,该微电子组件包括具有嵌入式导电结构的一对衬底。
图3A和图3B是示出根据各种实施方案的与具有嵌入式导电结构的堆叠衬底一起使用的示例阻挡界面的轮廓图。
图4A至图4E是示出根据附加实施方案的与具有嵌入式导电结构的堆叠衬底一起使用的示例阻挡界面的轮廓图。
图4F为示出根据实施方案的具有多个嵌入式导电结构的示例阻挡界面的平面图。
图5A至图5C是示出根据附加实施方案的与具有嵌入式导电结构的堆叠衬底一起使用的示例阻挡界面的轮廓图。
图6是示出根据实施方案的形成微电子组件的示例过程的图形流程图,该微电子组件包括带有阻挡界面的具有嵌入式导电结构的一对衬底。
图7是示出根据另一实施方案的形成微电子组件的示例过程的图形流程图,该微电子组件包括带有阻挡界面的具有嵌入式导电结构的一对衬底。
图8是示出根据各种实施方案的用于形成微电子组件的示例方法的流程图,该微电子组件包括具有嵌入式导电结构和阻挡界面的一对衬底。
发明内容
装置和技术的各种实施方案减少或防止导电材料扩散到结合衬底的绝缘材料或电介质中。特别地,本文所公开的装置和技术减轻了由于衬底的结合表面上的错位的导电结构造成的不期望的扩散。由于重叠,错位的导电结构可以以其他方式直接接触衬底表面的电介质部分,尤其是在采用直接结合技术的情况下。
这些装置和技术包括使用通常设置在导电材料和电介质之间的阻挡界面,该阻挡界面可以抑制导电层扩散到周围的电介质材料中。
衬底可以是由半导体或非半导体材料构成的管芯、晶圆、载体、大平面板等。半导体材料可以例如包括直接带隙半导体或间接带隙半导体及其组合。非半导体材料可以包括例如电介质材料,例如玻璃、陶瓷、碳氧化硅、氧化硅等,或者它们的组合。本文术语“衬底”的使用旨在包括所有这些和其他类似的示例。
在实施方案中,微电子组件可以至少包括具有第一基本平坦表面的第一衬底,第一衬底包括例如绝缘材料或电介质。电介质可以被设置在半导体、绝缘材料或导电材料的基础管芯或晶圆上。第二衬底具有第一基本平坦的表面,第二衬底也包括例如绝缘材料或电介质。电介质可以被设置在半导体、绝缘材料或导电材料的基础管芯或晶圆上。第一衬底的材料可以是第二衬底的相同(或类似)材料。然而,在另选的实施方案中,第一衬底的材料为与第二衬底的材料不同的材料。第二衬底的第一表面被结合到第一衬底的第一表面,而没有诸如粘合剂的中间材料。
第一导电互连结构嵌入在第一衬底中(或在第一衬底的层中),第一导电互连结构的表面通过第一衬底的第一表面暴露,以形成第一互连焊盘。第二导电互连结构嵌入在第二衬底中(或在第二衬底的层中),第二导电互连结构的表面通过第二衬底的第一表面暴露,以形成第二互连焊盘。第一互连焊盘面向并且可以接触第一衬底的第一表面的一部分并且第二互连焊盘面向并且可以接触第二衬底的第一表面的一部分。在一个实施方式中,第二互连焊盘直接结合到第一互连焊盘。
在各种示例中,第二互连焊盘可能相对于第一互连焊盘错位,导致第一和/或第二互连焊盘在相对衬底的绝缘材料或电介质上的一些重叠。
在该实施方案中,第一阻挡界面设置在第一衬底上,并且至少部分地围绕第一互连焊盘的周边。第一阻挡界面包括不同于第一衬底的绝缘材料或电介质的材料,并且被布置成抑制第二导电互连结构的材料扩散到第一衬底中。在该实施方案中,第一阻挡界面的材料也是与第二导电互连结构的材料不同的材料。在一个实施方式中,第一阻挡界面包括气隙、粗糙表面等。
在另一实施方案中,微电子组件还包括设置在第二衬底上的第二阻挡界面。第二阻挡界面至少部分地围绕第二互连焊盘的周边,并且包括与第二衬底的绝缘材料或电介质不同的材料。第二阻挡界面被布置用于抑制第一导电互连结构的材料扩散到第二衬底中。在一个实施方式中,第二阻挡界面包括气隙、粗糙表面等。
在一些实施方案中,第一阻挡界面和/或第二阻挡界面可以包括多种材料或者可以包括由一种或多种材料构成的多个部分。在其他实施方案中,第一阻挡界和/或第二阻挡界面可以包括材料、气隙、粗糙表面等的组合。
在各种实施方案中,第一阻挡界面或第二阻挡界面可以部分地或完全围绕其相应衬底的多个互连焊盘。另选地,多个阻挡界面可以部分地或完全围绕第一衬底或第二衬底的一个或多个互连焊盘。
在一些实施方案中,第一阻挡界面或第二阻挡界面也可以减轻或防止在平坦化期间可能在导电互连结构的周边处发生的电介质侵蚀(例如,圆角化)等。
一些公开的过程可以使用方框流程图来示出,包括图形流程图和/或文本流程图。描述所公开的过程的顺序不旨在被解释为限制,并且可以以任何顺序组合任何数量的所描述的过程框以实现该过程或另选过程。另外,在不脱离本文描述的主题的实质和范围的情况下,可以从这些过程中删除各个框。此外,在不脱离本文描述的主题的范围的情况下,所公开的过程可以在任何合适的制造或加工设备或系统中连同任何硬件、软件、固件或它们的组合一起实现。
下面使用多个示例更详细地解释实施方式。尽管在此处和下文讨论了各种实施方式和示例,但是通过组合各个实施方式和示例的特征和元素,其他实施方式和示例也是可能的。
具体实施方式
概述
图1为一对堆叠衬底102和104的轮廓图,分别示出了堆叠的衬底102和104内的嵌入式导电结构106和嵌入式导电结构108的错位。衬底102和衬底104至少在每个衬底102和104的结合表面上由绝缘材料或电介质(例如,氧化硅等)构成。例如,衬底102和衬底104可以表示微电子组件的由(有源半导体,例如硅等的)基础层构成的顶部绝缘层,该基础层的顶部具有在相关联的绝缘层内的一个或多个金属化层。在一些情况下,衬底102可以显著地大于衬底104。在一个示例中,衬底104可以包括宽度在1至30mm或甚至更大的管芯,而衬底102可以包括比衬底104更大的另一管芯(例如),较大的衬底诸如平坦的面板,200或300mm的晶圆等。
在结合之前,通过衬底102和104的结合表面暴露的嵌入式导电结构106和嵌入式导电结构108的部分可以形成互连焊盘等。在示例中,衬底102和衬底104在相应的结合表面上结合,并且导电结构106和导电结构108是电耦合的,并且一般也被机械结合以形成单个(连续)导电结构。结合线110指示衬底102和衬底104的结合表面接合的地方。
在示例中,结合衬底102和衬底104形成微电子组件100。例如,衬底102和衬底104可以被直接结合,包括使用混合结合技术,而不使用诸如粘合剂的中间材料。在结合之前,导电结构106和导电结构108可以稍微凹入到衬底102和衬底104的表面下方,以准备金属膨胀。衬底102和衬底104的表面经由直接结合(例如,经由ZibondTM)、电介质到电介质地在室温下结合而不使用粘合剂。然后利用高温退火(<350C),接触焊盘106和接触焊盘108膨胀并形成金属与金属的结合,从而产生电连接。在结合操作之后,例如当衬底102和衬底104包括晶圆时,可以在分段之前针对对已知良好的晶粒测试结合的组件100,以分离成各种结合的衬底或管芯。
如图1所示,由于以上讨论的各种原因中的一个或多个(包括用于将衬底104结合到衬底102的拾取和放置工具的不准确性(或公差)),当衬底102和衬底104被放置在一起并结合时,导电结构106和导电结构108可能错位。错位的偏移112包括互连焊盘106超出互连焊盘108的周边或边缘的重叠以及/或者互连焊盘108超出互连焊盘106的周边或边缘的重叠。由于偏移112,导电结构106和导电结构108中的一者或两者的一部分可以分别接触衬底104和衬底102的绝缘材料。如上所讨论的那样,由于这种接触,导电结构106和导电结构108中的一者或两者的导电材料(例如,铜或铜合金等)可能扩散到衬底104和衬底102的绝缘材料或电介质中。附加地,一些工艺元素(例如诸如高温退火)或操作参数(例如高频电场等)可能加剧导电材料扩散到衬底104和衬底102的绝缘材料或电介质中,从而导致例如电介质层中不期望的渗漏。
图2是示出根据实施方案的形成微电子组件100的示例性方法200的图形流程图,该微电子组件包括具有嵌入式导电结构106和嵌入式导电结构108的一对衬底102和104。在示例中,镶嵌结构由衬底102形成。在方框(A)处,将导电材料202(例如,铜、铜合金、镍或镍承载导体等)沉积在衬底102的表面上,包括沉积到镶嵌腔中,填充空腔。在方框(B)处,导电材料202被平坦化(例如,经由化学机械抛光(CMP)、蚀刻等)以形成导电结构106。导电结构106的暴露部分可以包括互连焊盘204。在一个实施方案中,可优选的是,互连焊盘204可以稍微凹入到衬底102的结合表面下方。通过清洁方法来制备结合表面,以去除导致不期望的颗粒的缺陷、残余的有机材料等。可以通过将表面中的一个或多个暴露于氮等离子体来制备(一个或多个)清洁表面,以准备用于结合过程。
在方框(C)处,由另一衬底104形成类似的镶嵌结构,该另一衬底在平坦化之后包括导电结构108。导电结构108的暴露部分可以包括互连焊盘206。将衬底104的制备的结合表面放置在衬底102上并堆叠到该衬底上以准备用于结合。然后将组装的衬底102和衬底104在低于350℃并且优选地低于250℃的温度下热处理持续足够的时间,以便于使结合表面永久结合,并且使相对的导电材料以机械和电的方式两者耦合。
方框(D)、(E)和(F)示出了将衬底102结合到衬底104的三个潜在结果。方框(D)表示理想的场景,其中导电结构106和导电结构108良好地对齐,而没有偏移。方框(E)表示平均场景,其中基于用于将衬底104结合到衬底102的放置工具的平均不准确性(例如,公差),存在导电结构106和导电结构108的平均错位。框(F)表示极端场景,其中基于用于将衬底104结合到衬底102的放置工具的最大不准确性(例如,公差),存在导电结构106和导电结构108的极端错位。通常,拾取和放置工具的放置速度越高,其放置精度越低,即偏移越大。对于具有极小的互连焊盘尺寸的应用,放置工具可以显著地减速以提高放置精度,这会影响吞吐量。
如上所述,偏移112(在方框(E)和(F)处示出)提供了将导电结构106和导电结构108的导电材料分别扩散到衬底104和衬底102的绝缘材料或电介质中的机会。
示例阻挡界面
根据本公开,为了避免铜扩散到氧化物中,例如,可以围绕互连焊盘106和/或互连焊盘108施加包括电介质结合层、导电阻挡层或其他阻挡物的阻挡界面302以形成防扩散的阻挡物。选择阻挡界面302材料,使得与衬底104和衬底102的绝缘材料或电介质(例如,氧化硅)相比,导电结构106和导电结构108的导电材料(例如,铜)到阻挡材料中的扩散率更差。在各种实施方案中,阻挡材料可以包括具有预选扩散特性的导电或非导电材料。
图3A和图3B是示出根据各种实施方案的与具有嵌入式导电结构106和嵌入式导电结构108的堆叠衬底102和堆叠衬底104一起使用的阻挡界面302的示例的轮廓图。在实施方式中,衬底102和衬底104在没有中间材料(诸如粘合剂)的情况下直接结合,以形成微电子组件300。在实施方式中,微电子组件300包括如上所讨论的微电子组件100,并且包括衬底102和衬底104中的一者或两者上的一个或多个阻挡界面302。在另选的实施方式中,微电子组件300包括呈结合叠堆的多于两个的衬底(诸如衬底102和衬底104),其中叠堆的衬底中的一个或多个包括一个或多个阻挡界面302。在另一实施方式中,微电子组件300包括分离地结合到另一衬底或晶圆的两个或更多个衬底(诸如衬底102和衬底104),其中衬底中的两个或更多个包括一个或多个阻挡界面302。
在实施方式中,组件300的(多个)阻挡界面302设置在衬底102和衬底104中的一者或两者处,并且分别至少部分地围绕互连焊盘204和/或互连焊盘206、以及/或者嵌入式导电结构106和嵌入式导电结构108的周边。如图3A所示,(多个)阻挡界面302可以包括与衬底102和/或衬底104的绝缘材料或电介质不同的一种或多种材料。例如,(多个)阻挡界面302可以包括与衬底102和/或衬底104的绝缘材料或电介质不同的电介质材料。在各种实施方式中,(多个)阻挡界面302包括氮化硅、氧氮化硅、碳化硅、碳氮化硅、金刚石、掺杂硼玻璃或氧化物、氧化铝或类似的阻扩散材料中的一种或多种。在其他实施方式中,(多个)阻挡界面302包括镍、镍合金、或各种组合的一种或多种其它导电材料。
附加地,采用阻挡界面302可以包括通过避免在相关结合界面处进行结合来防止扩散的技术。例如,在各种实施方案中,可以结合相应的导电互连结构106和导电互连结构108,但很少或不结合直接围绕的绝缘材料或电介质。如图3B所示,(多个)阻挡界面302中的一个或多个可以包括凹部、气隙、或气体填充的空腔等。同样,(多个)阻挡界面302包括与衬底102和/或衬底104的绝缘材料或电介质不同的材料。在各种实施方式中,(多个)阻挡界面302包括惰性气体或流体、预选气体或流体(基于所期望的特性)、真空等。气隙阻挡界面302可以通过蚀刻、在衬底102和/或衬底104中制造底切、经由CMP对衬底102和/或104进行凹陷化、研磨、衬底附近的衬底圆角化以及CMP期间的互连焊盘界面等来形成。
参见图3A和图3B,在实施方式中,(多个)阻挡界面302被布置成抑制导电互连结构106和导电互连结构108的材料分别扩散到衬底104和衬底102中。例如,选择(多个)阻挡界面302的材料,使得导电互连结构106的材料进入衬底104或衬底102的(多个)阻挡界面302的扩散率或导电互连结构108的材料进入衬底104或衬底102的(多个)阻挡界面302的材料的扩散率小于导电互连结构106或导电互连结构108的材料进入衬底104或衬底102的材料的扩散率(例如,氧化硅)。
在各种实施方案中,阻挡界面302中的一个或多个可以被布置成完全围绕或包围导电结构106和/或导电结构108以及/或者它们各自的互连焊盘204和/或互连焊盘206(即,分别为导电结构106和导电结构108的配合表面),或者部分围绕导电结构106和/或导电结构108以及/或者它们各自的互连焊盘204和/或互连焊盘206,形成阻挡导电材料(例如,铜)扩散到衬底102和衬底104的材料(氧化硅)中的阻挡物。
如图3A和图3B所示,在各种实施方案中,阻挡界面302具有大于拾取和放置工具的放置精度306(也由图1中的重叠112表示)的厚度304(即,宽度、范围等)。在最大放置错位的情况下,阻挡界面302的该厚度确保导电结构106和导电结构108的材料将接触阻挡界面302而不是衬底104或衬底102。这确保任何导电材料重叠发生在阻挡界面302处,而不是在衬底材料(例如,氧化硅)处,从而防止扩散。附加地,这显著地放松了拾取和放置工具的放置精度要求,这可改善吞吐量,尤其是在管芯至管芯和管芯到晶圆的结合过程中。
因此,在一个实施方案中,(导电结构106的)一个互连焊盘204与(导电结构108的)另一互连焊盘206的相对侧向位移小于阻挡界面302中的一个或多个的宽度。进一步,在一个实施方式中,阻挡界面302中的一个或多个的宽度是导电结构106和/或导电结构108的互连焊盘204和/或206的直径的至少10%。在其他实施方式中,阻挡界面中的一个或多个的宽度为互连焊盘204和/或互连焊盘206的直径的至少20%。
如图3B所示,在一些实施方案中,焊盘204的至少一些部分在结合之后延伸或突出超过微电子组件300上的衬底102的绝缘材料的凹入表面,并且可以延伸超过结合线110。这种延伸可能是形成阻挡界面302的结果,它也可能是由于平坦化在焊盘204的周边周围的衬底102的表面上的电介质侵蚀(即,圆角化)的结果,或是以上两者,或者分离地或组合是其他原因的结果。接合之后焊盘206的经过衬底104的凹入表面的至少一些部分的类似延伸或突出,以及可能地经过结合线110的延伸也可以存在于实施方案中。
在任何情况下,焊盘204和/或焊盘206的延伸的结果是至少部分地围绕焊盘204和/或焊盘206的气隙(有意的或以其他方式)。在一些情况下,当互连焊盘204和互连焊盘206错位时(如图3B所示),在一些实施方案中,可仅存在焊盘204或焊盘206的通过结合线110的至少一些部分的部分延伸或突起。
在图3A中,(导电结构106的)一个互连焊盘204与(导电结构108的)另一互连焊盘206的相对侧向位移小于阻挡界面302中的一个或多个的宽度。在退火期间,在导电焊盘204/206膨胀超过衬底材料102/104和阻挡界面材料302时,热膨胀系数之间的这种高失配可能在焊盘204/206推动阻挡界面302的位置处诱导衬底102的部分从衬底104脱粘。在实施方式中,可以通过调节退火时间和温度来减轻(例如,减少或消除)脱粘。在实施方式中,结合表面可在约100-150℃下热处理持续2至4小时以在衬底102和衬底104之间形成强结合。焊盘204和焊盘206然后可以在第二热处理期间使用脉冲退火技术在大约250-400℃下退火持续10秒至小于300秒。在示例中,第二热处理的脉冲退火时间小于第一热处理的加热时间的10%。在实施方式中,调节的加热/退火时间对于降低或消除结合的微电子组件300的失配应力或负载是有效的。
虽然图3A和图3B示出延伸穿过衬底102和衬底104的导电结构,但是这些结构可以部分延伸穿过衬底或者衬底上的层。为了简单起见,并且为了集中在结合界面处的结构或结构的部分上,在图3至图7中没有示出衬底上、衬底中或穿过衬底的导电连接的具体细节。
图4A至图4E是示出根据附加实施方案的与具有嵌入式导电结构106和嵌入式导电结构108的堆叠衬底102和堆叠衬底104一起使用的附加示例阻挡界面302的轮廓图。如图3A和图4A所示,阻挡界面302可以嵌入到衬底102和/或衬底104中的一者或多者中。在实施方案中,如图3A和图4A所示,一个或多个阻挡界面302嵌入衬底102和/或衬底104中,并延伸到衬底102和/或衬底104中至小于或等于导电结构106或导电结构108的深度的深度。在该构型中,衬底102和衬底104受到保护而不受导电材料扩散的影响。在实施方案中,如图3A和图4A所示,阻挡界面302可以被设置(并且可以暴露)在衬底102和/或衬底104的结合表面上,并且可以将预定深度延伸到衬底102和/或衬底104中。
如图4B所示,当导电结构106和导电结构108为相异的尺寸时,可以在衬底102或衬底104之一上使用阻挡界面302。例如,阻挡界面302(处于有利的厚度)可以用在导电结构106和导电结构108中的较小者上,以防止从导电结构106和导电结构108中的较大者扩散,而没有暴露在衬底102或衬底104上的重叠。例如,在实施方案中,第一互连焊盘106的宽度小于第二互连焊盘108的宽度,并且阻挡界面302被设置在第一衬底102处,至少部分地围绕第一互连焊盘106的周边。阻挡界面302的厚度/宽度使得第一互连焊盘106和阻挡界面302的组合宽度大于第二互连焊盘108的宽度。换句话讲,与实施方案中的每一个一样,第二互连焊盘108的周边边缘中的至少一个在阻挡界面302的周边内。第二互连焊盘108的另一周边边缘也在阻挡界面302的周边内或第一互连焊盘106的周边内(防止扩散到衬底材料中)。
如图4C所示,嵌入式阻挡界面302可以或可以不暴露在衬底102和衬底104的结合表面上。阻挡界面302可以被设置在结合表面下方的预选距离,并且可以具有各种深度和厚度(即,宽度或范围)。例如,在一个实施方案中,阻挡界面302可以在衬底102或衬底104的整个宽度上延伸。阻挡界面302可以邻接导电结构106和/或导电结构108,并且扩散可以限于阻挡界面302上方的衬底102和衬底104的区域,阻挡界面302防止阻挡界面302下方的扩散。在一些实施方案中,此类阻挡界面302可以由聚合物层或具有所期望的扩散特性的类似材料构成。
如图4D所示,阻挡界面302可以包括衬底102和/或衬底104的结合表面的粗糙区域,其可在衬底102和衬底104之间的结合中以预定宽度包括一个或多个间隙。例如,通常在衬底102和衬底104两者上制备在拓扑方面具有低方差的高度平坦的结合表面,以便在衬底102和衬底104之间具有可靠的直接结合。然而,在实施方案中,部分或全部围绕导电结构106和/或导电结构108的衬底102和/或衬底104的表面区域可以具有更高的粗糙度(表面拓扑方面具有更大的变化),以在衬底102和衬底104之间产生不平坦或不规则的表面,从而减少或消除衬底102和衬底104的该区域处的结合。例如,粗糙度可以使得表面不够光滑(或留下不足够的表面接触)以形成结合。高粗糙度(例如,大于10nm的变化)阻挡界面302可以利用蚀刻、切割、研磨、选择性CMP等形成。
类似于参照图4B描述的实施方案,如图4E所示,当导电结构106和108是不同尺寸时,阻挡界面302可以用在衬底102和衬底104之一上。在图4E的情况下,阻挡界面302包括与导电结构106和导电结构108中的较小者一起使用的气隙(或流体填充间隙)。当阻挡界面302的尺寸被有利地确定时,导电材料与衬底102或衬底104上没有重叠,并且因此没有扩散。例如,气隙阻挡界面302的尺寸被确定成使得互连焊盘204和互连焊盘206之间的任何偏移(有效地为互连焊盘204和互连焊盘206的边缘)落在阻挡界面302内,而不落在衬底102和衬底104的材料处。
在实施方式中,一个或多个阻挡界面302包括包含以下两者或多者的组合:多个气隙,与衬底102和衬底104的绝缘或电介质材料不同的一种或多种材料,以及预定宽度的粗糙表面。
如图4F的平面图所示,在实施方案中,多个导电结构106(或互连焊盘204)可以被单个阻挡界面302部分或全部围绕或包围。在此类实施方案中,衬底102可以被结合到具有多个导电结构108的另一衬底104,或具有导电结构的多于一个衬底。
另选地,多个阻挡界面302可以部分或完全围绕一个或多个导电结构106、导电结构108、或衬底102和衬底104中的一个或多个的互连焊盘204、互连焊盘206。例如,如图5A至图5C所示,多个导电结构106和导电结构108被阻挡界面302部分或全部围绕。例如,在实施方案中,多个附加的导电互连结构106嵌入在衬底102中,其中附加的导电互连结构106中的每一个的表面通过衬底102的结合表面暴露,以形成多个附加的互连焊盘204。多个附加的导电互连结构108嵌入在相对的衬底104中,并且附加的导电互连结构108中的每一个的表面通过衬底104的结合表面暴露,以形成多个附加的互连焊盘206。
阻挡界面302至少部分地围绕包括第一互连焊盘106和多个附加互连焊盘106的焊盘组的至少一个子集。基于阻挡界面302的位置和组成,阻挡界面302被布置成抑制包括导电互连结构108和多个附加导电互连结构108的互连结构组的导电材料扩散到衬底102中。进一步,(多个)阻挡界面302可被布置成抑制包括导电互连结构106和多个附加导电互连结构106的互连结构组的导电材料扩散到衬底104中。
如图5A和图5C所示,多个导电结构106和108中的每一个都可以包括阻挡界面302。例如,在实施方案中,一个或多个附加阻挡界面302设置在衬底102和/或衬底104处,这些界面至少部分地围绕第一互连焊盘106和多个附加互连焊盘106、和/或第二互连焊盘108和多个附加互连焊盘108的一个或多个附加子集的周边。一个或多个附加阻挡界面302包括不同于衬底102和/或衬底104的绝缘材料或电介质的材料,并且基于阻挡界面302的位置和组成被布置成抑制包括导电互连结构108和多个附加导电互连结构108的互连结构组的材料扩散到衬底102的材料中。进一步,一个或多个附加阻挡界面302可以被布置成抑制包括导电互连结构106和多个附加导电互连结构106的互连结构组的材料扩散到衬底104的材料中。
参考图5A至图5C,在一些实施方案中,每个阻挡界面302之间可以是间隙502,该间隙可以是阻挡界面302之间的空间、填充气体的间隙等。间隙502在衬底102和衬底104的结合表面之间(至少围绕导电结构106和/或导电结构108的周边)形成物理分离。在一些实施方案中,阻挡界面302和(多个)间隙502的组合防止或减少导电结构106和/或导电结构108的导电材料以及它们各自的互连焊盘204和互连焊盘206扩散到衬底104和衬底102的材料中。在另一实施方案中,在衬底102和104的结合表面之间不形成此类间隙502。
另选地,如图5B所示,单个导电结构106和/或导电结构108以及两个或多个导电结构106和/或导电结构108的组可以被单个阻挡界面302部分或全部围绕。在阻挡界面302之间,可以存在或可以不存在间隙502,如上所述。通孔(例如,TSV)(诸如通孔504)可以存在于本文所讨论的任何实施方案中,包括图5B处示出的示例性实施方案。通孔504可以延伸到衬底102和衬底104中的一个或两个的外部范围(例如,暴露的表面)(以及延伸超出其),或者它可以使一些部分延伸穿过衬底102和/或衬底104。
示例性方法
图6是示出根据实施方案的形成微电子组件300的示例性方法600的图形流程图,该微电子组件包括具有嵌入式导电结构106和嵌入式导电结构108以及一个或多个阻挡界面302的一对衬底102和104。
在方框A处,方法包括在衬底102的表面中形成空腔602(或多个空腔602和空腔603)。空腔602和空腔603可以通过图案化蚀刻等形成。在实施方案中,空腔603中的一个可以延伸至小于另一空腔602的深度的5%的深度。在方框B处,阻挡层604形成在衬底102的表面上和空腔602内。阻挡层604可以例如由氮化硅、氮氧化硅、碳化硅、碳氮化硅、金刚石、掺硼玻璃或氧化物、氧化铝或扩散性比氧化硅差的其他合适材料或它们的组合构成。在其他实施方案中,阻挡层604可以包括导电材料,例如钛或钽或它们对应的氮化物、镍和镍合金、或其他导电材料和组合。
在方框C处,涂覆有阻挡层604的空腔602填充有导电材料202,诸如铜、铜合金等。这可以例如使用双镶嵌工艺来完成。在一些示例中,可能期望的是导电结构106在衬底102而不是阻挡层604处接触空腔602的底部。在这些示例中,可以在用导电材料202填充空腔602之前,从空腔602的底部部分(和/或任何其它所期望的部分)移除阻挡层604的部分,以暴露衬底102。
在方框D处,通过蚀刻、CMP等(在阻挡层604处停止)去除溢出的导电材料202,以在阻挡层604内形成导电结构106(或多个导电结构106)。在方框E处,导电结构106和阻挡层604的一部分经由例如CMP被平坦化,以形成部分或完全围绕互连焊盘204的阻挡界面302(该互连焊盘可以具有非常小的凹部)以及衬底102的基本平坦的表面(具有带有不超过10-20nm的变化的平滑表面形貌)。
在一些实施方案中,阻挡层604或阻挡界面302可用于防止或减轻平坦化期间可能发生的衬底102的绝缘材料或电介质的侵蚀(例如,圆角化)。例如,阻挡层604可以延伸超出导电结构106预定的范围(即,宽度、直径等)并在衬底102的表面上延伸,在平坦化期间保护衬底102的表面。换句话讲,第一阻挡界面302设置在第一衬底102的基本平坦的表面的至少一部分上,并且被布置成保护基本平坦的表面免受由于基本平坦的表面的平坦化或抛光引起的侵蚀。在阻挡界面302在适当的位置的情况下,在导电结构106和衬底102的相交处,或者在阻挡层界面302和衬底102的相交处,不会出现电介质侵蚀(例如,圆角化)。在一些示例中,阻挡界面302可以用作用于抛光衬底102的指示器,并且在一些示例中,阻挡界面302也可以被抛光所期望的量以获得平坦的平滑结合表面。
在方框F处,将具有制备的衬底104、导电结构108和阻挡层302的类似微电子结构放置到衬底102上以用于结合。在方框G处,衬底104直接结合到衬底102而没有中间材料(诸如,粘合剂)以形成微电子组件300。特别地,衬底104结合到衬底102的结合表面和衬底102上的阻挡层302,并且衬底102结合到衬底104的结合表面和衬底104上的阻挡层302。在该步骤中,由于CMP工艺,来自衬底102的导电结构106和来自衬底104的导电结构108可以稍微凹入到结合线110下方,并且可以不物理接触。在一些情况下,导电结构108可以经由加热退火等结合到导电结构106。在如上所讨论的在高温下退火之后,导电结构108与导电结构106配合以形成电连接。
由于错位引起的导电结构106与导电结构108的任何偏移位于阻挡界面302上,而不是在衬底102和衬底104上。因此,导电材料(例如,铜)到衬底102和/或衬底104材料(例如,氧化硅)中的扩散由于(多个)阻挡界面302而减少或消除。
在另一实施方案中,在图6的方框E处平坦化之后,在互连焊盘204和阻挡层302上沉积附加层,该附加层通常与衬底102(例如氧化硅)具有相同类型的材料。随后是另一平坦化工艺,例如CMP,以去除多余的衬底材料并获得其中阻挡层302与围绕它的衬底102的表面齐平的表面。阻挡层302部分地或完全围绕互连器204,并且衬底层102完全或部分地围绕阻挡层302。在该实施方案中,在方框G期间,结合层102和104的直接结合与衬底102的阻挡层302与衬底104的阻挡层302的直接结合一起发生。接着是退火步骤,其中导电结构108可以经由加热退火等结合到导电结构106。
图7是示出根据另一实施方案的形成微电子组件300的示例性方法700的图形流程图,该微电子组件包括具有嵌入式导电结构106和嵌入式导电结构108以及一个或多个阻挡界面302的一对衬底102和104。
在方框A处,该方法包括将阻挡层材料604沉积到氧化物或其他电介质(例如)衬底102的表面上。在方框B处,移除阻挡层604的一部分和衬底102的电介质的一部分,并且所得到的空腔602填充有导电材料202(在方框C处)。在一些实施方案中,在空腔202中形成导电材料602的方法可以包括在用导电材料202填充空腔602之前,在第一阻挡层604和空腔602的表面上涂覆第二阻挡层(未示出)。
在方框D处,通过平坦化导电材料202和第二阻挡层(如果存在的话)来形成具有被阻挡界面302围绕的互连焊盘204的导电结构106。在实施方式中,阻挡界面302防止平坦化期间在导电结构106的交叉点处的衬底102的电介质侵蚀是有效的。在实施方案中,具有制备的阻挡界面302和导电结构106的该结构102可以结合到另一个类似的结构,但是在另一结构上没有阻挡界面302。在此类实施方案中,阻挡层302可以基于用于阻挡层的材料(例如,氮化硅等)充当另一结构的结合表面。
在方框E处,如果期望的话,可以改变阻挡界面302以移除任何不希望的部分。可以沉积抗蚀剂、掩模或其他图案702,并且根据需要蚀刻阻挡界面302(在方框F处)。附加的衬底材料(例如诸如氧化硅)可以沉积在衬底102的表面上,以将表面准备为以便于结合。例如,添加的材料可以在掩模702仍在适当的位置时或者在移除掩模702之后沉积。然后将衬底102的表面平坦化(经由CMP等)以获得平坦的平滑表面(该平坦的平滑表面包括与阻挡界面302齐平的衬底102的表面)以准备用于结合。
在方框G处,示出了所制备的衬底102,其中互连焊盘204具有部分或完全包围的阻挡界面302。两个类似制备的衬底102和衬底104可以在它们的平坦化表面上堆叠和结合以形成微电子结构300,如方框H所示。导电材料的任何重叠发生在(多个)阻挡界面302处而不是衬底102和/或衬底104的电介质处。该方法也可以用于形成具有部分地或完全围绕多个导电互连结构106、108的(多个)阻挡界面302的多个导电互连结构106、108。
在另选的实施方式中,导电结构106和/或导电结构108可以包括导电机械焊盘。在该实施方式中,机械焊盘与阻挡层302或衬底102/衬底104紧密配合以将机械焊盘固定到衬底102/衬底104。
图8是描述根据实施方案的形成微电子组件(诸如微电子组件300)的示例过程800的流程图,该微电子组件包括具有嵌入式导电结构(诸如导电结构106和导电结构108)的一对衬底(诸如,一对衬底102和104)以及一个或多个阻挡界面(诸如,阻挡界面302)。
在方框802处,该过程包括在第一衬底(例如诸如衬底102)的表面中形成第一空腔(或多个第一空腔)。在实施方案中,第一衬底包括绝缘材料或电介质(诸如氧化硅等),其可以被设置在半导体基底上,该半导体基底具有在基底上、中、穿过该基底的电路。在方框804,该过程包括在第一衬底处形成第一阻挡界面(例如诸如阻挡界面302),并且至少部分地围绕第一空腔的周边。在实施方式中,该过程包括将第一阻挡层材料沉积到第一空腔的表面的至少一部分上。第一阻挡层材料也可以沉积在第一衬底的至少一部分表面上,特别地部分地或完全围绕第一空腔。在实施方案中,第一阻挡界面包括不同于绝缘材料或电介质的材料,并且被布置成抑制导电材料扩散到第一衬底中。
在方框806,该过程包括用导电材料填充第一空腔。在各种实施方案中,导电材料包括铜、铜合金或类似的导电材料。
在方框808,该过程包括平坦化第一衬底、第一阻挡界面(包括第一阻挡层材料)和导电材料的表面的至少一部分,以形成第一导电互连结构,其中第一阻挡界面至少部分地围绕第一导电互连结构的暴露表面。在实施方案中,第一阻挡界面形成为具有预定宽度。
在另选的实施方式中,该过程包括将绝缘材料或电介质(例如,氧化硅)的附加层沉积到第一衬底的表面上,以改善衬底的结合表面。例如,沉积可以用于填充在先前的平坦化步骤期间产生的任何空隙,以使得衬底的表面与阻挡界面齐平等。在实施方式中,在沉积之后,衬底的表面被重新平坦化,以形成平坦、平滑且齐平的结合表面。第一阻挡界面(至少部分地)围绕导电互连器,并且绝缘材料或电介质(至少部分地)围绕第一阻挡界面。
在实施方式中,该过程包括在第二衬底(例如诸如衬底104)的表面中形成第二空腔,其中第二衬底也包括绝缘材料或电介质。该过程包括在第二衬底处形成第二阻挡界面,并且至少部分地围绕第二空腔的周边,其中第二阻挡界面包括不同于第二衬底的绝缘材料或电介质的材料。在实施方式中,该过程包括将第二阻挡层材料沉积到第二衬底的表面的至少一部分上以及沉积到第二空腔的表面的至少一部分上。
第二阻挡界面被布置成抑制第一导电结构的导电材料(嵌入在第一衬底中)扩散到第二衬底中。在实施方式中,该过程包括形成第一阻挡界面和/或第二阻挡界面以包括填充空气的间隙。在另一实施方式中,该过程包括形成第一阻挡界面和/或第二阻挡界面,以包括第一衬底和/或第二衬底的表面的粗糙区域,该粗糙区域抑制在粗糙区域处进行结合。在其他实施方案中,第一阻挡界面和/或第二阻挡界面包括氮化硅、氮氧化硅、碳化硅、碳氮化硅、金刚石、硼掺杂玻璃或氧化物、氧化铝或类似的抗扩散材料中的一种或多种。
在实施方式中,该过程包括用导电材料填充第二空腔,并且平坦化第二衬底、第二阻挡界面和第二衬底处的导电材料的表面的至少一部分,以形成第二导电互连结构(例如诸如导电结构108),其中第二阻挡界面至少部分地围绕第二导电互连结构的暴露表面。在一个示例中,该过程包括形成第一阻挡界面或第二阻挡界面,以具有第二导电互连结构的直径的至少10%的宽度。在另一示例中,该过程包括形成第一阻挡界面或第二阻挡界面,以具有第二导电互连结构的直径/宽度的至少20%的宽度。
该过程进一步包括在没有粘合材料的情况下将第二衬底的表面直接结合到第一衬底的表面,并将第二导电互连结构配合到第一导电互连结构,使得当第二导电互连结构和第一导电互连结构偏移或错位时,第二导电互连结构的任何部分接触第一阻挡界面而不接触第一衬底,并且第一导电互连结构的任何部分接触第二阻挡界面而不接触第二衬底。
在实施方式中,该过程包括将第二导电互连结构的暴露表面直接结合到第一导电互连结构的暴露表面。在示例中,该过程包括高温退火以将导电结构结合成单个导电互连器。
尽管在本文中讨论了各种实施方式和示例,但是通过组合各个实施方式和示例的特征和元素,其他实施方式和示例也是可能的。
结论
尽管已经用结构特征和/或方法动作专用的语言描述了本公开的实施方式,但是应当理解,这些实施方式不必限于所描述的特定特征或动作。相反,公开了特定特征和动作作为实现示例性装置和技术的代表性形式。
本文档的每个权利要求构成单独的实施方案,并且组合不同权利要求和/或不同实施方案的实施方案在本公开的范围内,并且在阅读本公开后对于本领域普通技术人员将是显而易见的。

Claims (25)

1.一种衬底,具有键合表面,所述衬底包括:
第一绝缘材料,具有上表面和竖直延伸至少部分地穿过所述第一绝缘材料的厚度的第一腔;
第一导电互连焊盘,至少部分地设置在所述第一腔中,以及
嵌入式阻挡界面材料,设置在所述第一绝缘材料上,而不在所述上表面的下方延伸,
其中所述衬底的所述键合表面准备用于直接键合,所述键合表面包括在所述嵌入式阻挡界面材料之上间隔开的电介质表面,和所述第一导电互连焊盘的上表面,并且
其中所述嵌入式阻挡界面材料抑制导电材料从所述键合表面扩散到所述第一绝缘材料。
2.根据权利要求1所述的衬底,还包括在所述嵌入式阻挡界面材料上并部分地限定所述衬底的所述键合表面的键合层。
3.根据权利要求2所述的衬底,其中所述键合层完全覆盖所述嵌入式阻挡界面材料。
4.根据权利要求2所述的衬底,其中所述键合层包括硅和氧。
5.根据权利要求1所述的衬底,其中所述嵌入式阻挡界面材料跨所述衬底延伸。
6.根据权利要求1所述的衬底,其中所述嵌入式阻挡界面材料包括氮化硅、氧氮化硅、碳化硅或碳氮化硅中的一种或多种。
7.根据权利要求6所述的衬底,其中所述嵌入式阻挡界面材料包括碳氮化硅。
8.根据权利要求1所述的衬底,其中所述嵌入式阻挡界面材料接触所述互连焊盘的侧壁。
9.根据权利要求1所述的衬底,其中所述第一绝缘材料还包括第二腔,所述第二腔竖直延伸至少部分地穿过所述第一绝缘材料的所述厚度,以及至少部分地设置在所述第二腔中的第二导电互连焊盘,其中所述嵌入式阻挡界面材料从所述第一导电互连焊盘延伸到所述第二导电互连焊盘。
10.一种键合结构,包括:
第一衬底,具有键合表面,所述第一衬底包括:
第一绝缘材料,具有上表面和竖直延伸至少部分地穿过所述第一绝缘材料的厚度的第一腔;
第一导电互连焊盘,至少部分地设置在所述第一腔中;
嵌入式阻挡界面材料,设置在所述第一绝缘材料上,而不在所述上表面的下方延伸,所述嵌入式阻挡界面材料抑制导电材料从所述键合表面扩散到所述第一绝缘材料;以及
电介质表面,在所述嵌入式阻挡界面材料之上间隔开,所述电介质表面和所述第一导电互连焊盘的上表面限定所述第一衬底的所述键合表面,以及
第二衬底,具有第二绝缘材料和第二互连焊盘,
其中所述第一衬底和所述第二衬底被直接键合到彼此而没有中间粘合剂。
11.根据权利要求10所述的键合结构,其中所述第一衬底的所述电介质表面被直接键合到所述第二衬底的所述第二绝缘材料,并且所述第一导电互连焊盘被直接接合到所述第二导电互连焊盘。
12.根据权利要求11所述的键合结构,其中所述键合层包括硅和氧。
13.根据权利要求12所述的键合结构,其中所述嵌入式阻挡界面材料包括碳氮化硅。
14.根据权利要求12所述的键合结构,其中所述嵌入式阻挡界面材料包括氮化硅。
15.一种衬底,具有键合表面,所述衬底包括:
第一绝缘材料,具有第一区域和第二区域,所述第一区域具有延伸至少部分地穿过所述第一绝缘材料的厚度的第一腔和第二腔;
第一导电互连接触,至少部分地设置在所述第一腔中;
第二导电互连接触,至少部分地设置在所述第二腔中;
在所述第一区域中的阻挡界面,所述阻挡界面至少在所述第一导电互连接触与所述第二导电互连接触之间延伸,所述第二区域没有所述阻挡界面,
其中所述衬底的所述键合表面准备用于直接键合。
16.根据权利要求15所述的衬底,其中所述第一区域包括跨所述第一区域延伸的导电互连接触区域和所述阻挡界面。
17.根据权利要求16所述的衬底,其中第一区域被没有所述阻挡界面的所述第二区域包围。
18.根据权利要求15所述的衬底,其中所述阻挡界面是所述第一绝缘材料上方的连续层,所述阻挡界面包括穿过其中的、用于所述第一导电互连接接触和所述第二导电互连接触的开口。
19.根据权利要求15所述的衬底,其中所述阻挡界面材料包括氮化硅、氧氮化硅、碳化硅或碳氮化硅中的一种或多种。
20.根据权利要求19所述的衬底,其中所述阻挡界面包括碳氮化硅。
21.根据权利要求15所述的衬底,所述第一导电互连接接触是第一互连焊盘,并且所述第二导电互连接触是第二互连焊盘。
22.根据权利要求15所述的衬底,其中所述阻挡界面是嵌入式阻挡界面,所述嵌入式阻挡界面设置在所述第一绝缘材料的上表面上,而不在所述上表面的下方延伸,其中所述键合表面包括在所述嵌入式阻挡界面之上间隔开的电介质表面,和所述第一导电互连焊盘的上表面。
23.一种键合结构,包括:
第一衬底,具有键合表面,所述衬底包括:
第一绝缘材料,包括第一区域和第二区域,所述第一区域具有延伸至少部分地穿过所述第一绝缘材料的厚度的第一腔和第二腔;
第一导电互连接触,至少部分地设置在所述第一腔中;
第二导电互连接触,至少部分地设置在所述第二腔中;以及
在所述第一区域中的阻挡界面,所述阻挡界面至少在所述第一导电互连接触与所述第二导电互连接触之间延伸,所述第二区域没有所述阻挡界面,以及
第二衬底,具有第二键合表面,所述第二键合表面被直接键合到所述衬底的所述键合表面而没有中间粘合剂。
24.根据权利要求23所述的键合结构,其中所述衬底的所述第一导电互连接触和所述第二导电互连接触,被直接键合到所述第二衬底的对应的第三导电互连接触和第四导电互连接触。
25.根据权利要求23所述的键合结构,其中所述阻挡界面包括碳氮化硅。
CN202410366205.5A 2017-10-06 2018-10-01 用于互连的扩散阻挡衬层 Pending CN118213350A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762569232P 2017-10-06 2017-10-06
US62/569,232 2017-10-06
US16/143,850 US11031285B2 (en) 2017-10-06 2018-09-27 Diffusion barrier collar for interconnects
US16/143,850 2018-09-27
PCT/US2018/053736 WO2019070571A1 (en) 2017-10-06 2018-10-01 DIFFUSION BARRIER COLLAR SERVING INTERCONNECTIONS
CN201880055006.5A CN111095532B (zh) 2017-10-06 2018-10-01 用于互连的扩散阻挡衬层

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880055006.5A Division CN111095532B (zh) 2017-10-06 2018-10-01 用于互连的扩散阻挡衬层

Publications (1)

Publication Number Publication Date
CN118213350A true CN118213350A (zh) 2024-06-18

Family

ID=65994065

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201880055006.5A Active CN111095532B (zh) 2017-10-06 2018-10-01 用于互连的扩散阻挡衬层
CN202410366205.5A Pending CN118213350A (zh) 2017-10-06 2018-10-01 用于互连的扩散阻挡衬层
CN202210498640.4A Pending CN114914227A (zh) 2017-10-06 2018-10-01 用于互连的扩散阻挡衬层

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201880055006.5A Active CN111095532B (zh) 2017-10-06 2018-10-01 用于互连的扩散阻挡衬层

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202210498640.4A Pending CN114914227A (zh) 2017-10-06 2018-10-01 用于互连的扩散阻挡衬层

Country Status (6)

Country Link
US (3) US11031285B2 (zh)
EP (2) EP3692568A4 (zh)
KR (2) KR20200052893A (zh)
CN (3) CN111095532B (zh)
TW (1) TWI756476B (zh)
WO (1) WO2019070571A1 (zh)

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10762420B2 (en) 2017-08-03 2020-09-01 Xcelsis Corporation Self repairing neural network
TW202414634A (zh) 2016-10-27 2024-04-01 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
JP2019140178A (ja) * 2018-02-07 2019-08-22 東芝メモリ株式会社 半導体装置
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
KR102518803B1 (ko) * 2018-10-24 2023-04-07 삼성전자주식회사 반도체 패키지
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
KR20210025156A (ko) 2019-08-26 2021-03-09 삼성전자주식회사 반도체 장치 및 그 제조방법
JP7421292B2 (ja) * 2019-09-11 2024-01-24 キオクシア株式会社 半導体装置の製造方法
US11404307B2 (en) * 2019-09-27 2022-08-02 Intel Corporation Interconnect structures and methods of fabrication
KR20210048638A (ko) 2019-10-23 2021-05-04 삼성전자주식회사 반도체 패키지
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
KR20220120631A (ko) 2019-12-23 2022-08-30 인벤사스 본딩 테크놀로지스 인코포레이티드 결합형 구조체를 위한 전기적 리던던시
US11088076B2 (en) * 2019-12-27 2021-08-10 Sandisk Technologies Llc Bonding pads embedded in a dielectric diffusion barrier and having recessed metallic liners
JP2022528073A (ja) * 2020-02-17 2022-06-08 長江存儲科技有限責任公司 ハイブリッドウェハ接合方法およびその構造
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11990448B2 (en) 2020-09-18 2024-05-21 Intel Corporation Direct bonding in microelectronic assemblies
US20220093492A1 (en) * 2020-09-18 2022-03-24 Intel Corporation Direct bonding in microelectronic assemblies
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US20220139867A1 (en) * 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
CN114743942A (zh) * 2021-01-07 2022-07-12 联华电子股份有限公司 混合式接合结构及其制作方法
US11587895B2 (en) * 2021-04-21 2023-02-21 Micron Technology, Inc. Semiconductor interconnect structures with vertically offset bonding surfaces, and associated systems and methods
EP4181187A4 (en) * 2021-07-01 2024-05-29 Changxin Memory Technologies, Inc. SEMICONDUCTOR STRUCTURE AND METHOD FOR PRODUCING A SEMICONDUCTOR STRUCTURE
US20230132632A1 (en) * 2021-10-28 2023-05-04 Adeia Semiconductor Bonding Technologies Inc. Diffusion barriers and method of forming same

Family Cites Families (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69429848T2 (de) 1993-11-01 2002-09-26 Matsushita Electric Industrial Co., Ltd. Elektronische Anordnung und Verfahren zur Herstellung
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
US7902643B2 (en) * 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US7855455B2 (en) * 2008-09-26 2010-12-21 International Business Machines Corporation Lock and key through-via method for wafer level 3 D integration and structures produced
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
WO2011153712A1 (en) 2010-06-12 2011-12-15 Theracos, Inc. Crystalline form of benzylbenzene sglt2 inhibitor
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
GB201012226D0 (en) * 2010-07-21 2010-09-08 Fujifilm Mfg Europe Bv Method for manufacturing a barrier on a sheet and a sheet for PV modules
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
KR102235927B1 (ko) 2011-05-24 2021-04-05 소니 주식회사 반도체 장치
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8896125B2 (en) * 2011-07-05 2014-11-25 Sony Corporation Semiconductor device, fabrication method for a semiconductor device and electronic apparatus
TWI495041B (zh) * 2011-07-05 2015-08-01 Sony Corp 半導體裝置、用於半導體裝置之製造方法及電子設備
US9125333B2 (en) * 2011-07-15 2015-09-01 Tessera, Inc. Electrical barrier layers
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
JP5994274B2 (ja) * 2012-02-14 2016-09-21 ソニー株式会社 半導体装置、半導体装置の製造方法、及び、電子機器
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9142517B2 (en) * 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US8860229B1 (en) * 2013-07-16 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9412662B2 (en) * 2014-01-28 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and approach to prevent thin wafer crack
US9425155B2 (en) * 2014-02-25 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer bonding process and structure
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
KR20160013756A (ko) * 2014-07-28 2016-02-05 에스케이하이닉스 주식회사 연결구조물, 반도체 장치 및 그 제조 방법
FR3025051A1 (fr) * 2014-08-22 2016-02-26 Commissariat Energie Atomique Procede de realisation d'un circuit integre par collage direct de substrats comprenant en surface des portions de cuivre et de materiau dielectrique
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
KR102211143B1 (ko) * 2014-11-13 2021-02-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9595467B2 (en) * 2014-11-14 2017-03-14 Applied Materials, Inc. Air gap formation in interconnection structure by implantation process
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9972602B2 (en) * 2015-02-23 2018-05-15 Marvell World Trade Ltd. Method and apparatus for interconnecting stacked dies using metal posts
JP2016181531A (ja) * 2015-03-23 2016-10-13 ソニー株式会社 半導体装置、および半導体装置の製造方法、固体撮像素子、撮像装置、並びに電子機器
JP2016219660A (ja) * 2015-05-22 2016-12-22 ソニー株式会社 半導体装置、製造方法、固体撮像素子、および電子機器
CN106298527B (zh) * 2015-06-01 2019-07-30 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其形成方法
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
KR20170075125A (ko) * 2015-12-22 2017-07-03 에스케이하이닉스 주식회사 반도체 패키지 및 제조 방법
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
JP2017135247A (ja) * 2016-01-27 2017-08-03 日本放送協会 接合型半導体素子、半導体素子、接合型半導体素子の製造方法および半導体素子の製造方法
TWI652778B (zh) * 2016-01-27 2019-03-01 艾馬克科技公司 半導體封裝以及其製造方法
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US9780035B1 (en) 2016-06-30 2017-10-03 International Business Machines Corporation Structure and method for improved stabilization of cobalt cap and/or cobalt liner in interconnects
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10762420B2 (en) 2017-08-03 2020-09-01 Xcelsis Corporation Self repairing neural network
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
CN110178212B (zh) 2016-12-28 2024-01-09 艾德亚半导体接合科技有限公司 堆栈基板的处理
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US11127738B2 (en) 2018-02-09 2021-09-21 Xcelsis Corporation Back biasing of FD-SOI circuit blocks
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US10991804B2 (en) 2018-03-29 2021-04-27 Xcelsis Corporation Transistor level interconnection methodologies utilizing 3D interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US10403577B1 (en) 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
US11171117B2 (en) 2018-06-12 2021-11-09 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10937755B2 (en) 2018-06-29 2021-03-02 Advanced Micro Devices, Inc. Bond pads for low temperature hybrid bonding
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US20210118864A1 (en) 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
KR20220120631A (ko) 2019-12-23 2022-08-30 인벤사스 본딩 테크놀로지스 인코포레이티드 결합형 구조체를 위한 전기적 리던던시
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US20220139867A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
EP4238126A1 (en) 2020-10-29 2023-09-06 Adeia Semiconductor Bonding Technologies Inc. Direct bonding methods and structures
CN116762163A (zh) 2020-12-28 2023-09-15 美商艾德亚半导体接合科技有限公司 具有贯穿衬底过孔的结构及其形成方法
WO2022147429A1 (en) 2020-12-28 2022-07-07 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
JP2024501559A (ja) 2020-12-30 2024-01-12 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 導電特徴部を備えた構造体及びその形成方法
TW202243197A (zh) 2020-12-30 2022-11-01 美商英帆薩斯邦德科技有限公司 直接接合結構
EP4302325A1 (en) 2021-03-03 2024-01-10 Adeia Semiconductor Bonding Technologies Inc. Contact structures for direct bonding
JP2024515032A (ja) 2021-03-31 2024-04-04 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 担体の直接接合及び剥離
WO2022212596A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2022212594A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding and debonding of carrier

Also Published As

Publication number Publication date
EP4044229A3 (en) 2022-11-30
EP3692568A4 (en) 2021-06-09
WO2019070571A1 (en) 2019-04-11
KR102609290B1 (ko) 2023-12-04
KR20220036996A (ko) 2022-03-23
US20210257253A1 (en) 2021-08-19
EP3692568A1 (en) 2020-08-12
US20230360968A1 (en) 2023-11-09
EP4044229A2 (en) 2022-08-17
US20190109042A1 (en) 2019-04-11
CN111095532B (zh) 2024-04-09
TWI756476B (zh) 2022-03-01
CN111095532A (zh) 2020-05-01
US11031285B2 (en) 2021-06-08
CN114914227A (zh) 2022-08-16
KR20200052893A (ko) 2020-05-15
TW201926621A (zh) 2019-07-01
US11694925B2 (en) 2023-07-04

Similar Documents

Publication Publication Date Title
CN111095532B (zh) 用于互连的扩散阻挡衬层
US11735523B2 (en) Laterally unconfined structure
US11728313B2 (en) Offset pads over TSV
US11955445B2 (en) Metal pads over TSV
US10790262B2 (en) Low temperature bonded structures
US7750488B2 (en) Method for bonding wafers to produce stacked integrated circuits
US11244916B2 (en) Low temperature bonded structures
KR20210144931A (ko) 기판의 직접 접합의 준비에서 프로브 패드의 표면 손상을 경감시키는 방법
CN112514059A (zh) 堆叠微电子部件的层间连接
US8039314B2 (en) Metal adhesion by induced surface roughness
CN112470272A (zh) 针对混合接合的后cmp处理
US20020163072A1 (en) Method for bonding wafers to produce stacked integrated circuits
JP4553892B2 (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination