CN1115729C - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN1115729C
CN1115729C CN98100842A CN98100842A CN1115729C CN 1115729 C CN1115729 C CN 1115729C CN 98100842 A CN98100842 A CN 98100842A CN 98100842 A CN98100842 A CN 98100842A CN 1115729 C CN1115729 C CN 1115729C
Authority
CN
China
Prior art keywords
substrate
type impurity
channel fet
cmos device
grid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN98100842A
Other languages
English (en)
Other versions
CN1193816A (zh
Inventor
青山亨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Desella Advanced Technology Co
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of CN1193816A publication Critical patent/CN1193816A/zh
Application granted granted Critical
Publication of CN1115729C publication Critical patent/CN1115729C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明的目的是提供一种CMOS器件及其制造方法,其包含具有浅的源极和漏极区的P沟道FET。仅在形成P沟道FET的源极和漏极区的区域上生长掺杂B的选择外延层。通过形成与n沟道FET相对应的无定形区来在形成n沟道FET的源极和漏极区的区域上生长掺硼的选择外延层。

Description

半导体器件及其制造方法
本发明涉及一种半导体器件及其制造方法,尤其是在将形成源极区和漏极区的硅基片表面上选择地生长一层硅外延层获得的场效应晶体管,及其制造方法。
在目前情况下,由场效应晶体管(FET,后面用此表示)处理的信息的比特率明显增加,使FET小型化的需求变得越来越迫切,具有短沟道的FET的开发被认为是重要的。为适应上述趋向,必须要形成FET的浅源极和漏极结。作为形成FET的浅源极和漏极区的工艺已经提出了一种在将形成源极和漏极区的基片上选择地生长硅外延层的一种方法。尽管对于n沟道和P沟道FET来说建立形成浅源极和漏极结的方法是重要的,但是相同的构成对于P沟道FET更是困难。
如果在硅外延层上注入硼(B)或BF3离子,并对其进行热处理,那么就能形成P沟道FET的源极和漏极区,但由于后面所述的原因不能得到浅结。
如果硼替代n型杂质,例如磷(P)或砷(AS),可以获得n沟道FET的源极和漏极区。因此,所获得的n沟道FET的源极和漏极结的深度比用硼离子注入得到的P沟道FET的源极和漏极结的深度浅,这是因为在硅基片注入的n型杂质,如磷或砷的扩散系数小于硼的扩散系数。
然而,已知如果P沟道FET的硅外延层掺杂硼且此杂质被热扩散进硅基片,那么就可得到P沟道FET的浅源极和漏极结。
为了制造具有浅源极和漏极结的互补金属氧化物半导体(后面写为CMOS)器件,非常需要结合前面所说的两种方法,用于分别形成适合于n沟道和P沟道FET的源极和漏极结。
本发明的目的是提供一种具有浅源极和漏极结的P沟道FET的CMOS器件。
本发明的另一目是提供一种制造具有浅源极和漏极结的P沟道FET的CMOS器件的方法,其采用将与n沟道的源极和漏极结对应的基片部分无定形化。
本发明的再一目的是提供一种制造具有浅源极和漏极结的P沟道FETs CMOS器件的方法,其采用向与n沟道FET的源极和漏极结相对应的基片部分引入干刻蚀缺陷。
根据本发明的第一方面,CMOS器件包括:
一个或多个n型场效应晶体管(后面写作FET),其中每一个都包括:
通过一绝缘层在基片上形成的一第一栅电极,
围绕第一栅电极的第一侧壁,
围绕第一栅电极在半导体基片上通过掺杂n型杂质形成的第一源极和漏极区;
一个或多个P型FET,其中每一个包括:
通过一绝缘层在基片上形成的一第二栅极。
围绕第二栅极的第二侧壁,
围绕第二栅极在半导体基片上通过掺杂P型杂质形成的第二源极和漏极区,
掺入P型杂质的外延层仅生长在第二源极和漏极区上,
其中所述基片为硅基片
根据本发明第二方面,制造CMOS器件的方法包括步骤为:
在基片的表面上形成一绝缘层,
在绝缘层上形成一栅极,
围绕该栅极形成所述侧壁,
通过光刻胶工艺处理,仅暴露出将要进行n型杂质离子注入的在该基片表面上围绕栅电极的区域,
在该基片表面上暴露的区域注入n型杂质离子,
使该基片的表面上的暴露区域无定形化,,
在该基片表面上围绕侧壁的将要掺杂P型杂质离子的区域上,生长掺入P型杂质的选择外延层,以及
通过从掺入P型杂质的选择外延层扩散P型杂质进入基片表面形成源极和漏极区,
其中所述基片为硅基片。
根据本发明的第三方面,制造CMOS器件的方法包括步骤:
在基片的表面上形成一绝缘层,
在绝缘层上形成一栅极,
围绕该栅极形成所述的侧壁,
通过光刻胶工艺处理,仅暴露出将要进行n型杂质离子注入的在该基片表面上围绕栅电极的区域。
在该暴露区上注入n型杂质离子,
向该基片的表面上的该暴露区域引入干刻蚀缺陷,
在该基片表面上围绕侧壁的将要掺杂P型杂质离子的区域上,生长掺入P型杂质的选择外延层,以及
通过从掺入P型杂质的选择外延层扩散P型杂质进入基片表面形成源极和漏极区,
其中所述基片为硅基片。
下面将结合附图对本发明作详细说明。
图1A至1D是表示制造CMOS器件的常规方法的剖视图;
图2A至2D是显示生产P沟道和n沟道CMOS器件的常规方法的剖视图;
图3是显示热扩散和离子注入之间差别的硼的表面离子质谱测定曲线;
图4A至4D示出了在第一实施例中制造半导体器件的方法的工艺序列的剖视图;
图5A至5D示出制造本发明第二实施例的半导体器件的方法的剖视图。
在描述根据本发明的最佳实施例中的半导体器件及其制造方法之前,先结合相应的附图对前述常规的半导体器件及其制造方法进行描述。
例如,下面将描述在日本专利公开5-55250中所揭示的一种方案。
如图1A至1D中所示,通过减少SiH2Cl2并通过在硅基片1上注入H2、B或BF3离子来在其上形成1000-2000埃厚的外延层5,并在Si基片的表面下形成3000埃深的源极区7和漏极区8。在图1A到1D中,2为绝缘层,3为栅电极而4为侧壁。
因此,通过类似的工艺并借助改变被注入离子的种类来制造P沟道FET。然而,与B离子相比,作为n型杂质的P或As的扩散较慢。相应地,n沟道FET源极和漏极结的深度大约为1500埃,并且在即使省去选择外延层5的情况下,其与P-沟道FET相比也是足够浅的。
在用传统工艺制造包括n和p沟道FET的CMOS器件的情况下,在选择外延层生长在硅基片1上之后,通过使用光刻胶6来分别将离子注入在n和p沟道FET上。
图2A到2D示出了传统方法的CMOS器件的制造工艺的流程图,其分别是阐述了n和p沟道FET的两种情况。如图2A中所示,与图1A到1D中的情况类似,对于n沟道和p沟道FET,绝缘体2、栅电极3和侧壁4是单独形成的。此后,在硅基片1上生长选择外延层5。
下面,如图2B中所示,形成盖住与p沟道FET相对应区域的光刻胶6,只在与n沟道FET相对应的区域上注入诸如p或As的n型离子,并形成了n沟道FET的源极区7和漏极区8。
相反地,如图2C中所示,在与p沟道FET的源极和漏极区相对应的区域上注入B及BF3离子的情况下,与n沟道FET相对应的区域被光刻胶6所覆盖。此后,如图2D中所示,通过热处理来激活被注入的离子,并同时形成了n和p沟道FET。
在前述的通过在选择外延层上注入B或BF3离子来制造p沟道FET的工艺中,其存在一个问题,即由于增强的B的扩散而使结的深度增大。
在物理研究的原子仪器方法中的37/58 1989年P371-378页中,瑞查德·B·费尔对上述的现象已给出了一种解释,即由B离子的注入所导致的填隙硅原子会增强B的扩散。
作为一种用于形成避免增强扩散的浅结的方法,一种方法是在基片上生长用于p沟道FET的B掺杂的选择外延层并通过其间的B的热扩散来形成源极和漏极区。
如图3中所示,通过来自B掺杂的外延层的热扩散而获得的B掺杂结的深度被减为由B离子注入所获得的结的深度的1/3,其中在结的底部的B的浓度被认为是5×1017/cc。
虽然通过前述的工艺可以制造p沟道FET,当将其应用到CMOS的生产时,也可在n沟道FET上形成B掺杂的外延层。相应地,考虑到此间问题,此工艺无法适用于CMOS的生产。
下面,参考所附的附图对本发明的实施例进行描述。
图4A到4D为根据本发明的半导体器件制造工艺的截面图,其中按照工艺的先后顺序对组成CMOS的n和p沟道FET进行阐述。如在图3A中所示,用与传统工艺相类似的工艺来制造n和p沟道FET的绝缘层2、栅电极3和侧壁4。
接着,如图4B中所示,在用于P沟道FET的硅基片1的表面上对光刻胶6制作图形从而只有将要形成n沟道FET的源极和漏极区的区域被露出,并且在注入能量和剂量分别为50Kev和1×1015/cm2的条件下来在其上注入P或As离子。接着,在注入能量和剂量分别为30Kev和11×1015/cm2的条件下来在其上注入Si或Ge离子,然后,硅基片1的表面最好被变换为无定形状态,并在硅基片1上形成无定形层9。在此实施例中,虽然在已经注入P或As离子之后来注入Si或Ge离子,但将此顺序倒过来也不会产生问题。
接着,如图4C中所示,光刻胶6被去除,使用栅电极3和侧壁4作为掩膜去除绝缘层2,并在其上生长B掺杂的选择外延层5。在此实施例中,使用了超高真空化学气相沉积(UHV-CVD)设备。由于基本压强低于10-9乇,且在此设备中H2O(水)的局部蒸汽压值,则即使在低于400℃的温度下也可生长外延层。在选择外延生长之前,用HF的水溶液去除天然的SiO2层,在450℃的温度下生长掺杂B的500埃厚的选择外延层5。由于在此温度下很难生长Si外延层,加入30%的Ge到外延层中。Si2H6和GeH4被作为源极气体,而B2H6被用作掺杂气体。由于在大约500℃的温度下无定形层被结晶化,在外延生长期间,与n沟道FET的源极和漏极区对应的部分硅基片1被保持为非晶状态。相应地,在与n沟道FET对应的区域上不生长选择外延层5,而仅在与p沟道FET的源极和漏极区对应的区域上生长。
此后,如图4D中所示,通过900℃的热处理来对与n沟道FET的源极和漏极区对应的被加工的基片部分进行结晶化,在上述现象的同时,B被热扩散进硅基片1而形成P沟道FET的源极和漏极区7和8。
通过上述工艺获得与CMOS包含具有1500埃深源极和漏极结的n沟道FET和具有1000埃深源极和漏极结的p沟道FET。尤其是,与传统的相比,P沟道FET的深度可减少2/3。
此外,如果在注入杂质(P或As)的情况下,剂量高于1×1015cm2,在此步骤中硅基片1的表面变为无定形状态,因此前述的Si或Ge的注入被忽略。
图5A到5D为用于解释发明第二最佳实施例的半导体器件生产工艺的截面图。
如图5A中所示,为与传统工艺类似的工艺制造n和P沟道FET的绝缘层2、栅电极3和侧壁4。
接着,如图5B中所示,在P沟道FET硅基片的表面上来对光刻胶6制作图形,从而只露出与将要形成n沟道FET的源极区和漏极区相对应的区域,并且在注入能量和剂量分别为50Kve和3×1015/cm2的条件下来在其上注入P或As离子。
此外,通过干法蚀刻去除绝缘层2,并在将形成n沟道FET的源极和漏极区的部分硅基片引入干法蚀刻缺陷10。
然后,如图5C中所示,光刻胶6被去除,并在硅基片1上生长B掺杂的选择外延层5。在此实施例中,同样对于选择外延生长使用了UHV-CVD设备。在选择外延生长之前,用HF的水溶液去除自然的SiO2层,并在650℃的温度下来生长500埃厚的B掺杂的选择外延层5。SiCl4被用作源极气体,而B2H6被用作掺杂气体。在低于700℃的温度下干法缺陷不会被再结晶化,且在将形成n沟道FET的源极和漏极区的区域不会生长外延层,而在露出Si-晶体的区域,换句话说,在形成P沟道FET的源极和漏极区的区域生长外延层。
最后,如图5D中所示,对被加工的基片进行900℃的热处理,而与n沟道FET的源极和漏极区对应的硅基片1的部分被结晶化。B在与上述现象同时地从P沟道FET外延层5热扩散进硅基片1,并形成源极和漏极区7和8。
用上述工艺获得的CMOS包括具有与第一实施例情况类似的1500埃深的源极和漏极结的n沟道FET和具有1000埃深的源极和漏极结的P沟道FET。尤其是,与传统情况相比,P沟道FET结的深度被减少了2/3。
如上所述,在本发明的半导体器件中,可获得CMOS中的P沟道FET的浅的源极和漏极结。尤其是,源极和漏极结的深度都减少为了传统情况的1/3,也即3000埃被减少到1000埃。
此外,光刻胶制作图形的步骤可被减少为一步。
虽然为了完全和清楚的进行描述,已结合特定实施例对本发明进行了描述。对于本领域中的技术人员而言,所作的各种变换和修改都不会脱离所附权利要求所述的范围。

Claims (13)

1.一种互补金属氧化物半导体(后面写作CMOS)器件,其特征在于包括:
一个或多个n型场效应晶体管(后面写作FET),其中每一个都包括:
通过一绝缘层在基片上形成的一第一栅电极,
围绕所述第一栅电极的第一侧壁,
围绕所述第一栅电极在半导体基片上通过掺杂n型杂质形成的第一源极和漏极区;
一个或多个P型FET,其中每一个包括:
通过一绝缘层在基片上形成的一第二栅极。
围绕第二栅极的第二侧壁,
围绕第二栅极在半导体基片上通过掺杂P型杂质形成的第二源极和漏极区,
掺入P型杂质的外延层仅生长在第二源极和漏极区上,及
其中所述基片是硅基片。
2.根据权利要求1所述的CMOS器件,其特征在于所述P型杂质是硼。
3.一种制造CMOS器件的方法,其特征在于:
在基片的表面上形成一绝缘层,
在所述绝缘层上形成一栅极,
围绕该栅极形成所述侧壁,
通过光刻胶工艺处理,仅暴露出将要进行n型杂质离子注入的在基片表面上围绕栅电极的区域,
在该基片所述表面上暴露的区域注入所述n型杂质离子,
使所述基片的所述表面上所述的暴露区域无定形化,
在该基片表面上围绕侧壁的将要掺杂P型杂质离子的区域上,生长掺入P型杂质的选择外延层,
通过从掺入P型杂质的选择外延层扩散P型杂质进入基片表面以形成源极和漏极区,以及
其中所述基片是硅基片。
4.根据权利要求3所述的制造CMOS器件的方法,其特征在于所述P型杂质是硼。
5.根据权利要求3所述的制造CMOS器件的方法,其特征在于所述无定形化步骤是通过一在所述暴露区域注入硅或锗离子进行的。
6.根据权利要求3所述的制造CMOS器件的方法,其特征在于:
所述无定形化步骤是通过在所述暴露的区域且以高于1×1015/平方厘米的剂量注入磷或砷进行的。
7.根据权利要求4所述的制造CMOS器件的方法,其特征在于:
所述生长所述掺硼的选择外延层的步骤是在无定形化区没有被晶化的低温下进行的。
8.根据权利要求4所述的制造CMOS器件的方法,其特征在于:
所述生长掺杂硼的选择外延层的步骤是在低于500℃的温度下进行的。
9.根据权利要求4所述的制造CMOS器件的方法,其特征在于:
所述生长所述掺杂硼的外延层的步骤是通过用锗掺杂入所述掺杂外延层进行的。
10.一种制造CMOS器件的方法,其特征在于包括步骤:
在基片的表面上形成一绝缘层,
在所述绝缘层上形成一栅极,
围绕所述栅极形成所述的侧壁,
通过光刻胶工艺处理,仅暴露出将要进行n型杂质离子注入的在该基片表面上围绕栅电极的区域。
在该暴露区上注入n型杂质离子,
向该基片的表面上的该暴露区域引入干刻蚀缺陷,
在该基片表面上围绕所述侧壁的将要掺杂P型杂质离子的区域上,生长掺入P型杂质的选择外延层,
通过从掺入P型杂质的选择外延层扩散所述P型杂质进入所述基片表面形成源极和漏极区,
其中所述基片是硅基片。
11.根据权利要求10所述的制造CMOS器件的方法,其特征在于所述P型杂质是硼。
12.根据权利要求10所述的制造CMOS器件的方法,其特征在于:
所述生长选择外延层的步骤是在干刻蚀缺陷没有被晶化的低温下进行的。
13.根据权利要求11所述的制造CMOS器件的方法,其特征在于:
所述掺杂硼的选择外延层是在低于700℃的温度下生长的。
CN98100842A 1997-02-20 1998-02-20 半导体器件及其制造方法 Expired - Fee Related CN1115729C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP9036467A JP2988414B2 (ja) 1997-02-20 1997-02-20 半導体装置の製造方法
JP036467/1997 1997-02-20
JP036467/97 1997-02-20

Publications (2)

Publication Number Publication Date
CN1193816A CN1193816A (zh) 1998-09-23
CN1115729C true CN1115729C (zh) 2003-07-23

Family

ID=12470626

Family Applications (1)

Application Number Title Priority Date Filing Date
CN98100842A Expired - Fee Related CN1115729C (zh) 1997-02-20 1998-02-20 半导体器件及其制造方法

Country Status (4)

Country Link
US (1) US6150221A (zh)
JP (1) JP2988414B2 (zh)
KR (1) KR100268120B1 (zh)
CN (1) CN1115729C (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100578218B1 (ko) * 1999-06-24 2006-05-12 주식회사 하이닉스반도체 엘리베이티드 소오스/드레인을 갖는 반도체소자 제조방법
US6403433B1 (en) * 1999-09-16 2002-06-11 Advanced Micro Devices, Inc. Source/drain doping technique for ultra-thin-body SOI MOS transistors
US6787424B1 (en) 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
US6495437B1 (en) 2001-02-09 2002-12-17 Advanced Micro Devices, Inc. Low temperature process to locally form high-k gate dielectrics
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6756277B1 (en) 2001-02-09 2004-06-29 Advanced Micro Devices, Inc. Replacement gate process for transistors having elevated source and drain regions
US6551885B1 (en) 2001-02-09 2003-04-22 Advanced Micro Devices, Inc. Low temperature process for a thin film transistor
JP2003188274A (ja) 2001-12-19 2003-07-04 Toshiba Corp 半導体装置及びその製造方法
JP4150548B2 (ja) * 2002-08-08 2008-09-17 富士通株式会社 半導体装置の製造方法
US7312125B1 (en) 2004-02-05 2007-12-25 Advanced Micro Devices, Inc. Fully depleted strained semiconductor on insulator transistor and method of making the same
DE602006019940D1 (de) * 2006-03-06 2011-03-17 St Microelectronics Crolles 2 Herstellung eines flachen leitenden Kanals aus SiGe
JP5202891B2 (ja) * 2007-07-02 2013-06-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0555250A (ja) * 1991-08-28 1993-03-05 Rohm Co Ltd 半導体装置およびその製法
JP2964925B2 (ja) * 1994-10-12 1999-10-18 日本電気株式会社 相補型mis型fetの製造方法
JP2778553B2 (ja) * 1995-09-29 1998-07-23 日本電気株式会社 半導体装置およびその製造方法
KR0172788B1 (ko) * 1995-12-29 1999-03-30 김주용 반도체 소자의 트랜지스터 제조방법
US5668024A (en) * 1996-07-17 1997-09-16 Taiwan Semiconductor Manufacturing Company CMOS device structure with reduced risk of salicide bridging and reduced resistance via use of a ultra shallow, junction extension, ion implantation process
US5707896A (en) * 1996-09-16 1998-01-13 Taiwan Semiconductor Manuacturing Company, Ltd. Method for preventing delamination of interlevel dielectric layer over FET P+ doped polysilicon gate electrodes on semiconductor integrated circuits
US5753548A (en) * 1996-09-24 1998-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for preventing fluorine outgassing-induced interlevel dielectric delamination on P-channel FETS

Also Published As

Publication number Publication date
CN1193816A (zh) 1998-09-23
US6150221A (en) 2000-11-21
KR100268120B1 (ko) 2000-10-16
JP2988414B2 (ja) 1999-12-13
JPH10233456A (ja) 1998-09-02
KR19980071514A (ko) 1998-10-26

Similar Documents

Publication Publication Date Title
CN1574395A (zh) 用于提高mos性能的引入栅极的应变
CN1926660A (zh) 模板层形成
CN1290203C (zh) 半导体器件的结构及其制造方法
CN1115729C (zh) 半导体器件及其制造方法
CN1282253C (zh) 具有小袋的半导体器件及其制造
CN1195199A (zh) 场效应晶体管及其制造方法
CN1574387A (zh) 载流子迁移率提高的双栅极晶体管
KR100593736B1 (ko) 단결정 반도체 상에 선택적으로 에피택시얼 반도체층을형성하는 방법들 및 이를 사용하여 제조된 반도체 소자들
CN101075562A (zh) 制造晶体管结构的方法
CN1453850A (zh) 具有硅锗栅极的半导体器件及其制作方法
CN1841680A (zh) 半导体器件及其制造方法
CN1081832C (zh) 制造金属氧化物半导体场效应晶体管的方法
CN101064257A (zh) 制造半导体器件的方法和半导体器件
CN1901197A (zh) 垂直pnp晶体管及其制造方法
CN1790638A (zh) 具有区域化应力结构的金属氧化物半导体的场效晶体管
CN1893016A (zh) 使用固相外延法形成半导体器件接触的方法
CN1702866A (zh) 半导体器件
CN1717793A (zh) 用于生产双极晶体管的方法
CN1237620C (zh) 半导体装置和半导体装置的制造方法
CN1540742A (zh) 半导体装置及其制造方法
CN1812103A (zh) 在栅极电极上具有硅层的半导体器件
CN1421908A (zh) 具有浅源极/漏极结区的mos晶体管的制造方法
CN1596464A (zh) 半导体器件及其制造方法
CN1099706C (zh) 隔离棚场效应晶体管的制造方法
CN1227969A (zh) 半导体器件的制造方法

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: NEC ELECTRONICS TAIWAN LTD.

Free format text: FORMER OWNER: NIPPON ELECTRIC CO., LTD.

Effective date: 20030908

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20030908

Address after: Kanagawa, Japan

Patentee after: NEC Corp.

Address before: Tokyo, Japan

Patentee before: NEC Corp.

C56 Change in the name or address of the patentee

Owner name: RENESAS ELECTRONICS CORPORATION

Free format text: FORMER NAME: NEC CORP.

CP01 Change in the name or title of a patent holder

Address after: Kanagawa, Japan

Patentee after: Renesas Electronics Corporation

Address before: Kanagawa, Japan

Patentee before: NEC Corp.

ASS Succession or assignment of patent right

Owner name: DESAILA ADVANCED TECHNOLOGY COMPANY

Free format text: FORMER OWNER: RENESAS ELECTRONICS CORPORATION

Effective date: 20141014

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20141014

Address after: American California

Patentee after: Desella Advanced Technology Company

Address before: Kanagawa, Japan

Patentee before: Renesas Electronics Corporation

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20030723

Termination date: 20160220