CN111180525B - 具有多个氮氧化物层的氧化物氮化物氧化物堆栈 - Google Patents

具有多个氮氧化物层的氧化物氮化物氧化物堆栈 Download PDF

Info

Publication number
CN111180525B
CN111180525B CN202010010002.4A CN202010010002A CN111180525B CN 111180525 B CN111180525 B CN 111180525B CN 202010010002 A CN202010010002 A CN 202010010002A CN 111180525 B CN111180525 B CN 111180525B
Authority
CN
China
Prior art keywords
layer
dielectric layer
oxygen
memory device
oxynitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202010010002.4A
Other languages
English (en)
Other versions
CN111180525A (zh
Inventor
赛格·利维
克里希纳斯瓦米·库马尔
斐德列克·杰能
萨姆·吉哈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Longitude Flash Storage Solutions Co ltd
Original Assignee
Longitude Flash Storage Solutions Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/436,872 external-priority patent/US9449831B2/en
Application filed by Longitude Flash Storage Solutions Co ltd filed Critical Longitude Flash Storage Solutions Co ltd
Priority to CN202010010002.4A priority Critical patent/CN111180525B/zh
Publication of CN111180525A publication Critical patent/CN111180525A/zh
Application granted granted Critical
Publication of CN111180525B publication Critical patent/CN111180525B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • H01L29/42348Gate electrodes for transistors with charge trapping gate insulator with trapping site formed by at least two separated sites, e.g. multi-particles trapping site
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

描述了包括多层电荷储存层的半导体存储设备和形成该半导体存储设备的方法的实施例。一般,设备包括:由覆盖在衬底上的表面的半导体材料形成的沟道,该沟道连接存储设备的源极和漏极;覆盖沟道的隧道氧化物层;以及多层电荷储存层,其包括在隧道氧化物层上的富氧、第一氮氧化物层和在第一氮氧化物层上的贫氧、第二氮氧化物层,其中第一氮氧化物层的化学计量组合物导致其实质上没有陷阱,第二氮氧化物层的化学计量组合物导致其陷阱密集。在一个实施例中,设备包括包含具有邻接沟道的多个表面的栅极的非平面晶体管,并且栅极包括隧道氧化物层和多层电荷储存层。

Description

具有多个氮氧化物层的氧化物氮化物氧化物堆栈
本申请是申请号为201380016893.2、发明名称为“具有多个氮氧化物层的氧化物氮化物氧化物堆栈”的中国专利申请的分案申请。
相关申请的交叉引用
本申请是2007年6月13日递交的序列号为11/811,958的共同未决的美国申请的延续部分,其根据美国法典第35条119(e)款要求2007年5月25日递交的序列号为60/931,947的美国临时专利申请的优先权益,以上两个申请通过引用并入本文。
技术领域
本发明涉及半导体加工,并且更具体地涉及具有改进的氧化物氮化物或氮氧化物层的氧化物氮化物氧化物堆栈和形成该氧化物氮化物氧化物堆栈的方法。
背景
例如分离栅极闪存的非易失性半导体存储器,通常使用堆栈的浮栅型场效应晶体管,其中电子被引入到存储单元的浮栅中以通过偏置控制栅极和将存储单元在其上形成的衬底的主体区接地进行编程。
氧化物氮化物氧化物(ONO)堆栈用作如在硅氧化物氮化物氧化物硅(SONOS)晶体管中的电荷储存层,或用作如在分离栅极闪存中的浮栅和控制栅极之间的隔离层。
图1是关于例如存储器设备的半导体设备100的中间体结构的部分剖视图,该半导体设备100具有SONOS栅极堆栈或结构102,该SONOS栅极堆栈或结构102包括根据常规方法在硅衬底108的表面106上方形成的常规ONO堆栈104。此外,设备100通常还包括对准到栅极堆栈并且被沟道区112分开的一个或多个扩散区110,例如源极和漏极。简言之,SONOS结构102包括在ONO堆栈104上形成的并且与ONO堆栈104接触的多晶硅(多)栅极层114。多晶硅栅极层114通过ONO堆栈104从衬底108分开或电气隔离。ONO堆栈104通常包括下部氧化物层116、或用作设备100的电荷储存层或存储器层的氮化物或氮氧化物层、和覆盖氮化物或氮氧化物层的顶部高温氧化物(HTO)层120。
具有常规SONOS结构102和形成该常规SONOS结构的方法的一个问题是:由于通过该层的泄露电流,限制设备100寿命和/或限制其在一些应用中使用的氮化物或氮氧化物层118的差的数据保持。
具有常规SONOS结构102和形成该常规SONOS结构的方法的另一个问题是:氮氧化物层118的化学计量在整个层的厚度上既不均匀也未被优化。特别地,氮氧化物层118常规地以使用单个工艺气体混合物和固定的或恒定的加工条件的单个步骤被形成或沉积,以试图提供在整个相对厚的层上的厚度具有高浓度氮和高浓度氧的均匀层。然而,由于顶部效应或底部效应,这导致氮、氧和硅浓度可以在整个常规氮氧化物层118中不同。顶部效应由其中工艺气体跟随沉积被切断的顺序引起的。特别地,含有硅的工艺气体,例如硅烷,通常被首先切断,导致具有高氧和/或氮和低硅的氮氧化物层118的顶部部分。类似地,底部效应被其中工艺气体被引入以开始沉积的顺序引起。特别地,氮氧化物层118的沉积通常跟随退火步骤,导致在沉积工艺的开始的氨(NH3)峰值或相对高的浓度,并且产生具有低氧和低硅和高氮的氮氧化物层的底部部分。底部效应还由表面成核现象引起,其中在初始工艺气体混合物中可用的氧和硅优先与在衬底的表面的硅反应,并且不会有助于形成氮氧化物层。因此,由ONO堆栈104制造的存储设备100的电荷存储特性和特定的编程和擦除速度和数据保持都受到不利的影响。
因此,存在对具有ONO堆栈的存储设备的需求,该ONO堆栈具有作为存储层的氮氧化物层,其表现出改进的编程和擦除速度和数据保持。还需要形成具有表现出改进的氮氧化物化学计量的氮氧化物层的ONO堆栈的方法或工艺。
概要
提供了包括多层电荷储存层的半导体存储设备和形成该半导体存储设备的方法。通常,设备包括由覆盖在衬底上的表面的半导体材料形成的沟道,该沟道连接存储设备的源极和漏极;覆盖沟道的隧道氧化物层;以及包括在隧道氧化物层上的富氧、第一氮氧化物层的多层电荷储存层及在第一氮氧化物层上的贫氧、第二氮氧化物层,其中第一氮氧化物层的化学计量组合物导致其实质上没有陷阱,其中第二氮氧化物层的化学计量组合物导致其陷阱密集。在一个实施例中,设备包括非平面晶体管,其包括具有邻接沟道的多个表面的栅极,并且栅极包括隧道氧化物层和多层电荷储存层。还公开了其它的实施例。
附图简述
当结合附图阅读以下详细描述和后面提供的所附权利要求时,本结构和方法的这些和各个其它的特征和优点将变得明显,在附图中:
图1(现有技术)是示出关于具有根据常规方法形成的氧化物氮化物氧化物(ONO)堆栈的方法的存储设备的中间体结构的剖视侧视图的框图;
图2是示出根据本公开的实施例的具有包含多层电荷储存层的硅氧化物氮氧化物氧化物硅结构的半导体设备的一部分的剖视侧视图的框图;
图3是关于根据本公开的实施例形成包括多层电荷储存层的氧化物氮氧化物氧化物结构的方法的流程图;
图4是示出关于与使用常规存储层的存储设备相比,使用根据本公开形成的存储层的存储设备的数据保持方面的改进的曲线图;
图5是关于根据本公开的另一个实施例的形成包括多层电荷储存层的氧化物氮氧化物氧化物结构的方法的流程图;
图6是具有ONO结构的编程的常规存储设备的能带图;
图7A和7B是根据本公开的实施例、在编程之前和编程之后的包括多层电荷储存层的存储设备的能带图;
图8A示出了包括分离俘获区的非平面多栅极设备;
图8B示出了图8A的非平面多栅极设备的剖视图;
图9A和图9B示出了包括分离电荷俘获区和水平纳米线沟道的非平面多栅极设备。
图10A和10B示出了包括分离电荷俘获区和垂直纳米线沟道的非平面多栅极设备;
图11A和11B示出了用于制造图10A的非平面多栅极设备的栅极第一方案;以及
图12A和12B示出了用于制造图10A的非平面多栅极设备的栅极最近方案。
详述
本发明通常涉及包括包含多层电荷储存层的硅氧化物氮氧化物氧化物硅栅极结构的设备和用于制造该栅极结构的方法。该栅极结构和方法对于形成例如存储晶体管的存储设备中的存储层是特别有用的。
在以下的描述中,出于解释的目的,阐述了大量的具体细节以提供对本公开的全面的理解。然而,对于本领域技术人员将明显的是,在没有这些具体细节的情况下,本结构和方法可以实施。在其它的实例中,公知的结构和技术没有具体示出或以框图形式示出,以避免不必要地模糊对本说明书的理解。
在说明书中提到的“一个实施例(one embodiment)”或“实施例(embodiment)”指的是结合实施例描述的特定的特征、结构或特性包含在至少一个实施例中。在说明书中的各个地方出现的短语“在一个实施例中(in one embodiment)”并不一定都指的是相同的实施例。如本文使用的术语“耦合(to couple)”可以既包括直接连接又包括通过一个或多个中间部件间接连接。
简言之,本方法涉及形成多层电荷储存层,其包括例如氮氧化硅(Si2N2O)层的具有不同浓度的氧、氮、和/或硅的多个氮氧化物层。以比常规ONO结构中的氮化物或氮氧化物层更高的温度形成氮氧化物层,并且使用不同的工艺气体混合物和/或以不同的流速形成每个层。通常,氮氧化物层包括至少顶部氮氧化物层和底部氮氧化物层。在某些实施例中,层的化学计量组合物被定制或选择,使得下部或底部氮氧化物具有高的氧含量和硅含量,并且顶部氮氧化物层具有高的硅浓度和高的氮浓度且低的氧浓度,以产生贫氧、富硅氮化物或氮氧化物。富硅和富氧底部氮氧化物层减少了储存的电荷损失而不影响设备速度或编程和擦除电压之间的初始(寿命的开始)差。富硅、贫氧顶部氮氧化物层增加了存储设备的编程和擦除电压之间的差,从而提高了设备速度、增加了数据保持、并且延长了设备的工作寿命。在一些实施例中,富硅、贫氧顶部氮氧化物层还可以包括选定用于增加其中陷阱数量的一定浓度的碳。
可选地,可以选择顶部氮氧化物层和底部氮氧化物层之间的厚度的比值,以便于在使用干式氧化或湿式氧化形成第一氧化物层之后、在硅氧化物氮氧化物氧化物硅栅极结构的隧穿氧化物层或第一氧化物层上方形成氮氧化物层。
现在将参考图2到图4更详细地描述根据本公开的各个实施例的硅氧化物氮氧化物氧化物硅结构和关于制造该结构的方法。
图2是根据一个实施例示出了具有包含多层电荷储存层的硅氧化物氮氧化物氧化物硅栅极结构的半导体存储设备200的一部分的剖视侧视图的框图。参考图2,存储设备200包括包含在衬底或硅衬底208上的硅层的表面206上方形成的多层电荷储存层204的硅氧化物氮氧化物氧化物硅栅极结构或栅极堆栈202。此外,设备200还包括对准栅极堆栈202并且被沟道区212分开的一个或多个扩散区210,例如源极区和漏极区或结构。通常,硅氧化物氮氧化物氧化物硅栅极结构包括包含硅的栅极层和硅层或衬底208的一部分,所述栅极层例如在多层电荷储存层204上形成的并与多层电荷储存层204接触的多晶硅或多晶栅极层214。多晶栅极层214通过多层电荷储存层204从衬底208分开或电气隔离。硅氧化物氮氧化物氧化物硅结构包括将栅极堆栈202从沟道区212分开或电气隔离的薄的下部氧化物层或隧穿氧化物层216、顶部或阻挡氧化物层218和多层电荷储存层204。如上所述和如图2中所示,多层电荷储存层204包括至少两个氮氧化物层,其包含顶部氮氧化物层220A和底部氮氧化物层220B。
衬底208可以包括任何公知的基于硅的半导体材料,其包含硅、硅-锗、绝缘体上硅、或蓝宝石上硅衬底。可选地,衬底208可以包括在非基于硅的半导体材料上形成的硅层,该非基于硅的半导体材料,例如,砷化镓、锗、氮化镓、或铝-磷。在某些实施例中,衬底208是掺杂的或未掺杂的硅衬底。
硅氧化物氮氧化物氧化物硅结构的下部氧化物层或隧穿氧化物层216通常包括从大约15埃到大约/>的相对薄的二氧化硅(SiO2)层,并且在一些实施例中是大约隧穿氧化物层216可以通过任何合适的方式被形成或沉积,该任何合适的方式,包括例如进行热生长或使用化学气相沉积(CVD)进行沉积。通常在氧环境中使用热氧化来形成或生长隧道氧化物层。在一个实施例中,工艺包括干式氧化法,其中衬底208被放置在沉积或加工腔室中,被加热到从大约700℃到大约850℃的温度,并且将其暴露到氧气中达基于实现的隧穿氧化物层216的期望厚度进行选定的预定时间段。在另一个实施例中,隧道氧化物层在ISSG(原位蒸汽产生)腔室中使用自由基氧化进行生长,该自由基氧化使用衬底上的氧气(O2)和氢气(H2)之间在至少1000℃的温度下的反应。示例性加工时间是从大约10分钟到大约100分钟。氧化可以在常压或低压下执行。
如上所述,多层电荷储存层通常包括至少两个氮氧化物层,其具有硅、氧和氮的不同组合物,并且多层电荷储存层具有的整个厚度可以从大约到大约/>并且在某些实施例中是大约/>在一个实施例中,氮氧化物层以低压CVD工艺使用硅源、氮源、和含氧气体来形成或沉积,所述硅源,例如硅烷(SiH4)、氯硅烷(SiH3Cl)、二氯甲硅烷或DCS(SiH2Cl2)、四氯化硅(SiCl4)、二叔丁基氨基硅烷(BTBAS),所述氮源,例如氮气(N2)、氨气(NH3)、三氧化氮(NO3)或一氧化二氮(N2O),所述含氧气体,例如氧气(O2)或N2O。可选地,可以使用其中氢已经被氘取代的气体,包括,例如置换NH3的氘化氨(ND3)。氘置换氢有利地在氧化硅界面钝化Si悬空键,从而增加了设备的NBTI(负偏压温度不稳定性)寿命。
例如,通过在沉积腔室中放置衬底208,并且引入包含N2O、NH3和DCS的工艺气体,同时保持腔室在从大约5毫托(mT)到大约500mT的压力,并且保持衬底在从大约700℃到大约850℃的温度(并且在某些实施例中保持衬底在至少约760℃的温度)达大约2.5分钟到大约20分钟的时间段,可以将下部或底部氮氧化物层220B沉积在隧穿氧化物层216上方。特别地,工艺气体可以包括以从大约8:1到大约1:8比例混合的N2O和NH3的第一气体混合物和以从大约1:7到大约7:1比例混合的DCS和NH3的第二气体混合物,并且可以以从大约5到200标准立方厘米每分钟(sccm)的流速引入。已经发现的是,在这些条件下产生的或沉积的氮氧化物层产生在编程之后和在擦除之后减少电荷损失率的富硅、富氧的底部氮氧化物层220B,其在保持模式下表现为小的电压漂移。
顶部氮氧化物层220A可以以CVD工艺沉积在底部氮氧化物层220B上方,该CVD工艺在从大约5mT到大约500mT的腔室压力下、并且在从大约700℃到大约850℃的衬底温度(并且在某些实施例中在至少大约760℃)下、使用包括N2O、NH3和DCS的工艺气体达大约2.5分钟到大约20分钟的时间段。特别地,工艺气体可以包括以从大约8:1到大约1:8比例混合的N2O和NH3的第一气体混合物和以从大约1:7到大约7:1比例混合的DCS和NH3的第二气体混合物,并且可以以从大约5到大约20sccm的流速引入。已经发现的是,在这些条件下产生的或沉积的氮氧化物层产生富硅、富氮、和贫氧顶部氮氧化物层220A,其提高了速度并且增加了编程和擦除电压之间的初始差、而不影响使用硅氧化物氮氧化物氧化物硅结构的实施例制造的存储设备的电荷损失率,从而延长了设备的工作寿命。
在一些实施例中,富硅、富氮、和贫氧顶部氮氧化物层220A可以以CVD工艺沉积在底部氮氧化物层220B上,该CVD工艺使用包括以从大约7:1到大约1:7比例混合的BTBAS和氨气(NH3)的工艺气体,以进一步包括选定用于增加其中陷阱的数量的一定浓度的碳。在第二氮氧化物层中选定的碳的浓度可以包括从大约5%到大约15%的碳浓度。
在某些实施例中,顶部氮氧化物层220A被顺序沉积在用于形成底部氮氧化物层220B的相同的工具中,基本上不会破坏沉积腔室上的真空。在某些实施例中,顶部氮氧化物层220A沉积而基本上不改变在沉积底部氮氧化物层220B期间衬底208被加热到的温度。在一个实施例中,顶部氮氧化物层220A被顺序沉积并且紧接着通过减少N2O/NH3气体混合物相对于DCS/NH3气体混合物的流速来沉积的底部氮氧化物层220B,以提供期望比值的气体混合物以产生富硅、富氮、和贫氧顶部氮氧化物层220A。
在某些实施例中,另一个氧化物或氧化物层(在这些图中未示出)在形成栅极堆栈202之后在衬底208上的不同区中形成或在使用蒸汽氧化的设备中形成。在该实施例中,硅氧化物氮氧化物氧化物硅结构的顶部氮氧化物层220A和顶部或阻挡氧化物层218在蒸汽氧化处理期间有利地蒸汽退火。特别地,蒸汽退火,提高了顶部或阻挡氧化物层218的质量,减少了靠近阻挡氧化物层的顶面形成的陷阱数量,且减少了靠近下面的顶部氮氧化物层220A的顶面形成陷阱的数量,从而减少了或基本上消除了电场,其否则可能形成穿过阻挡氧化物层,这可能导致电荷载流子借此回流并且不利地影响电荷储存层中的数据保持或电荷保持。
底部氮氧化物层220B的合适的厚度已经发现从大约到大约/> 底部层和顶部氮氧化物层之间的厚度的比值已经发现从大约1:6到大约6:1,并且在某些实施例中,底部层和顶部氮氧化物层之间的厚度的比值是至少大约1:4。
硅氧化物氮氧化物氧化物硅结构的顶部或阻挡氧化物层218包括从大约到大约/>的相对厚的SiO2层,并且在某些实施例中,该顶部或阻挡氧化物层218包括大约的SiO2层。顶部或阻挡氧化物层218可以通过任何合适的方式被形成或沉积,该任何合适的方式包括例如热生长或使用CVD沉积。在一个实施例中,顶部或阻挡氧化物层218是使用CVD工艺沉积的高温氧化物(HTO)。通常,沉积工艺包括在从大约50mT到大约1000mT的压力下的沉积腔室中,将衬底208暴露到硅源和含氧气体(所述硅源,例如硅烷、氯硅烷、或二氯甲硅烷;所述含氧气体,例如O2或N2O),持续大约10分钟到大约120分钟的时间段,同时保持衬底在从大约650℃到大约850℃的温度下。
在某些实施例中,顶部或阻挡氧化物层218被顺序沉积在用于形成氮氧化物层220A、220B的相同的工具中。在某些实施例中,氮氧化物层220A、220B和顶部或阻挡氧化物层218在用于生长隧穿氧化物层216的相同的工具中形成或沉积。合适的工具,包括,例如商业购自加利福尼亚的斯科茨谷的AVIZA技术的ONO AVP。
根据一个实施例形成或制造硅氧化物氮氧化物氧化物硅堆栈的方法现在将参考图3的流程图进行描述。
参考图3,本方法开始于在衬底208的表面上的包含硅的层上方形成硅氧化物氮氧化物氧化物硅栅极堆栈202的第一氧化物层,例如隧穿氧化物层216(300)。接着,包含氮氧化物的多层电荷储存层204的第一或底部氮氧化物层220B在第一氧化物层的表面上形成(302)。如上所述,该第一或底部氮氧化物层220B可以通过CVD工艺使用工艺气体来形成或沉积,该工艺气体包含成比例和以定制的流速的N2O/NH3和DCS/NH3气体混合物,以提供富硅和富氧氮氧化物层。多层电荷储存层204的第二或顶部氮氧化物层220A然后在第一或底部氮氧化物层220B的表面上形成(304)。第二或顶部氮氧化物层220A具有不同于第一或底部氮氧化物层220B的化学计量组合物的氧、氮、和/或硅的化学计量组合物。特别地,并且如上所述,第二或顶部氮氧化物层220A可以通过CVD工艺使用工艺气体来形成或沉积,该工艺气体包含成比例和定制的流速的N2O/NH3和DCS/NH3气体混合物,以提供富硅、贫氧顶部氮氧化物层。最后,硅氧化物氮氧化物氧化物硅结构的顶部或阻挡氧化物层218在多层电荷储存层的第二层的表面上形成(306)。如上所述,该顶部或阻挡氧化物层218可以通过任何合适的方式形成或沉积,但是在一些实施例中以CVD工艺沉积。在一个实施例中,顶部或阻挡氧化物层218是以HTO CVD工艺沉积的高温氧化物。可选地,顶部或阻挡氧化物层218可以热生长,然而应当理解的是,在该实施例中,因为在热生长顶部或阻挡氧化层218的过程期间顶部氮氧化物中的一些将被有效消耗或氧化,所以顶部氮氧化物220A的厚度可以被调整或增加。
可选地,该方法还可以包括在顶部或阻挡氧化物层218的表面上形成或沉积含硅层以形成硅氧化物氮氧化物氧化物硅堆栈或结构(308)。含硅层可以是,例如,通过CVD工艺沉积的多晶硅层,以形成晶体管或设备200的控制或多晶栅极层214。
现在将参照图4进行这样一个比较,即使用根据本公开的实施例形成的存储层的存储设备的数据保持与使用常规存储层的存储设备的数据保持的比较。特别地,图4示出了在编程(VTP)期间和在擦除(VTE)期间的电可擦除可编程只读存储器(EEPROM)中的设备的阈值电压相对EEPROM设备寿命的变化,其中EEPROM使用常规的ONO结构和使用具有多层氮氧化物层的硅氧化物氮氧化物氧化物硅结构制成。在收集关于该附图的数据过程中,两个设备在85℃的环境温度下预先循环100K循环。
参考图4,曲线图或线402示出了在初始写入-编程或擦除之后没有刷新存储器的情况下,关于使用具有单个氮氧化物层的常规ONO结构制造的EEPROM的VTP随着时间的变化。在线402上的实际数据点通过未填充的圆示出,线的其余部分示出了VTP的外推到关于EEPROM的指定的寿命终止(EOL)。曲线图或线404示出了使用常规ONO结构制造的EEPROM的VTE随时间的变化。在线404上的实际数据点通过实心圆示出,并且线的其余部分示出了VTE的外推到关于EEPROM的EOL。通常,关于在EOL的EEPROM的VTE和VTP之间的指定的差是至少0.5V以能够识别和感测编程状态和擦除状态之间的差。从该图可以看出,使用常规ONO结构制造的EEPROM在指定的20年EOL处具有大约0.35V的VTE和VTP之间的差。因此,使用常规ONO结构制造的并且在以上描述的状态下操作的EEPROM将不能满足至少大约17年的指定的工作寿命。
与此相反,分别通过线406和408示出了关于使用具有多层氮氧化物层的硅氧化物氮氧化物氧化物硅结构制造的EEPROM的随时间变化的VTP和VTE中的变化,示出了在指定的EOL的至少大约1.96V的VTE和VTP之间的差。因此,根据本公开的实施例使用硅氧化物氮氧化物氧化物硅结构制造的EEPROM将满足和超过20年的指定的工作寿命。特别地,曲线图或线406示出了根据本公开的实施例使用硅氧化物氮氧化物氧化物硅结构的EEPROM的VTP随着时间的变化。线406上的实际数据点通过未填满的正方形来表示,线的其余部分示出了VTP外推到指定的EOL。曲线图或线408示出了EEPROM的VTE随时间的变化,并且在线408上的实际数据点通过填满的正方形来表示,线的其余部分示出了VTE外推到EOL。
根据另一个实施例形成或制造半导体设备的方法现在参考图5的流程图进行描述。
参考图5,方法开始于在衬底上形成隧穿氧化物层216(500)。接着,多层电荷储存层204的富氧、第一或底部氮氧化物层220B形成在隧穿氧化物层216的表面上(502)。如上所述,该富氧、第一或底部氮氧化物层220B可以通过CVD工艺使用包含在从大约5:1到15:1比值范围的二氯甲硅烷(SiH2Cl2)/氨气(NH3)混合物的工艺气体来形成或沉积;和在从大约2:1到4:1的比值范围和以定制的流速的一氧化二氮(N2O)/NH3混合物,以提供基本上没有陷阱的富硅且富氧氮氧化物层。这是第一或底部氮氧化物层220B的化学计量组合物包括选定的高浓度的氧以通过充当在第二或顶部氮氧化物层220A中俘获的电荷和衬底208之间的障碍来增加多层电荷储存层的保持性能。在第一或底部氮氧化物层220B中的氧的选定的浓度可以包括从大约15%到大约40%的氧浓度,并且在某些实施例中是大约35%。
贫氧、第二或顶部氮氧化物层220A然后形成在第一或底部氮氧化物层220B的表面上(504)。第二或顶部氮氧化物层220A具有不同于第一层的化学计量组合物的氧、氮和/或硅的化学计量组合物。特别地,并且如上所述,第二或顶部氮氧化物层220A可以通过CVD工艺使用工艺气体来形成或沉积,该工艺气体包含在大约1:6到1:8的比值范围的N2O/NH3混合物和在大约1.5:1到3:1比值范围的SiH2Cl2/NH3混合物,以提供具有大约5%或更少的氧浓度的陷阱密度氮氧化物层。因此,第二或顶部氮氧化物层220A包括比第一或底部氮氧化物层220B的电荷陷阱密度大至少1000倍的电荷陷阱密度。
最后,顶部或阻挡氧化物层218被形成在多层电荷储存层204的第二或顶部氮氧化物层220A上方(506)。如上所述,该顶部或阻挡氧化物层218可以通过任何合适的方式被形成或沉积。在一个实施例中,第二或阻挡氧化物层218以这样一个方式被形成,该方式通过氧化第二氮氧化物层的一部分而导致减薄第二或顶部氮氧化物层220A到预定厚度。最后,如上参考图4所述,多层电荷储存层204的改善的保持性能增加关于在编程电压(VTP)和擦除电压(VTE)之间指定的差的半导体设备的寿命终止(EOL)到至少大约20年。
在另一个方面,本公开的多层电荷储存层具有带隙能量,该带隙能量被设计为产生与由于编程状态中在电荷储存层中电荷存储建立的电场相反的电场,从而增加数据保持,而不影响编程电压和/或设备速度。图6示出了,包括在硅衬底602中的沟道、隧穿氧化物层604、均匀的氮化物或氮氧化物电荷储存层606、氧化物阻挡层608和多晶硅控制栅极610的被编程的常规设备的能带图。参考图6,应当注意的是,位于靠近电荷储存层606的中心的大量的俘获的电荷导致远离隧穿氧化物层604朝向俘获的电荷的大电场的建立,并且其可以引起或导致存储的电荷的损失。
与此相反,在包括本公开的多层电荷储存层的存储设备中,设计带隙能量多层电荷储存层导致了指向内侧(从电荷储存层朝向隧道氧化物)的电场建立,其对抗由于增加电荷保持而存储的电荷引起的电场的建立。包括多层电荷储存层706的未编程存储设备在图7A中示出。设备包括在硅衬底702中的沟道、隧穿氧化物层704、贫氧氮氧化物层706A、富氧底部氮氧化物层706B、氧化物阻挡层708和多晶硅控制栅极710。参考图7A,在贫氧顶部氮氧化物层706A中的陷阱位点产生电场,其对抗由被编程的设备中的俘获的电荷产生的电场。图7A中示出了产生包括多层电荷储存层706的设备在编程状态中的带隙图。
实施方式和替代方案
另一个方面,本公开涉及包括多栅极或多栅极表面存储设备和制造该多栅极或多栅极表面存储设备的方法,多栅极或多栅极表面存储设备包括覆盖在衬底的表面上或之上形成的沟道的两个或多于两个侧面的电荷俘获区。多栅极设备包括平面设备和非平面设备两者。平面多栅极设备(未示出)通常包括双栅极平面设备,其中大量的第一层被沉积以在随后形成的沟道下面形成第一栅极,并且大量的第二层被沉积在其上以形成第二栅极。非平面多栅极设备通常包括在衬底的表面上或之上形成并且被栅极在三个或多于三个侧面上包围的水平或垂直沟道。
图8A示出了包括电荷俘获区的非平面多栅极存储设备的一个实施例。参考图8A,存储设备800通常指的是finFET,其包括由覆盖在衬底806上的表面804的半导体材料的薄膜或层形成的沟道802,其连接存储设备的源极808和漏极810。沟道802被鳍片在三个侧面上包围,鳍片形成设备的栅极812。栅极812的厚度(在从源极到漏极的方向测量的)确定设备的有效沟道长度。
根据本公开,图8A的非平面多栅极存储设备800可以包括分离电荷俘获区。图8B是图8A的非平面存储设备的一部分的剖视图,其包括衬底806、沟道802和栅极812的一部分,示出了多层电荷储存层814。栅极812还包括覆盖凸起的沟道802的隧道氧化物层816、阻挡介质818和覆盖阻挡层的金属栅极层820以形成存储设备800的控制栅极。在一些实施例中,掺杂的多晶硅可以取代金属被沉积以提供多晶硅栅极层。沟道802和栅极812可以直接在衬底806上形成或直接在绝缘层或介电层822上形成,例如在衬底上或上方形成的埋氧化物层。
参考图8B,多层电荷储存层814包括至少一个下部或底部电荷俘获层824和上部或顶部电荷俘获层826,至少一个下部或底部电荷俘获层824包括更靠近隧道氧化物层816的氮化物,上部或顶部电荷俘获层826覆盖底部电荷俘获层。通常顶部电荷俘获层826包括富硅、贫氧氮化物层并且包括分布在多个电荷俘获层中的大多数电荷陷阱,而底部电荷俘获层824包括富氧氮化物或氮氧化硅,并且相对于顶部电荷俘获层是富氧的以减少其中的电荷陷阱的数量。所谓的富氧指的是其中在底部电荷俘获层824中的氧的浓度是从大约15%到大约40%,而在顶部电荷俘获层826中的氧的浓度是小于约5%。
在一个实施例中,阻挡介质818还包括例如HTO的氧化物以提供ONNO结构。沟道802和上覆的ONNO结构可以直接在硅衬底806上形成并且覆盖由掺杂的多晶硅栅极层820以提供SONNOS结构。
在一些实施例中,例如在图8B中所示,多层电荷储存层814还包括至少一个薄的中间体或反隧穿层828,其包含例如氧化物的介质,该至少一个薄的中间体或反隧穿层828将顶部电荷俘获层826和底部电荷俘获层824分开。反隧穿层828实质上减少了在从隧穿到底部氮化物层824编程期间在上部氮化物层826的边界累积的电子电荷的可能性,导致了比在图1和图6中示出的结构的泄露电流更低的泄露电流。
正如以上描述的实施例,底部电荷俘获层824和顶部电荷俘获层826中的一者或两者可以包括氮化硅或氮氧化硅,并且可以例如通过CVD工艺,该CVD工艺包含成比例和以定制的流速的N2O/NH3和DCS/NH3气体混合物以提供富硅和富氧氮氧化物层。多层电荷储存结构的第二氮化物层然后在中间氧化物层上形成。顶部电荷俘获层826具有不同于底部电荷俘获层824的化学计量组合物的氧、氮和/或硅的化学计量组合物,并且也可以通过CVD工艺形成或沉积,该CVD工艺使用包含成比例和以定制的流速的DCS/NH3和N2O/NH3气体混合物的工艺气体以提供富硅、贫氧顶部氮化物层。
在包括包含氧化物的中间体或反隧穿层828的那些实施例中,反隧穿层可以通过使用自由基氧化将底部氮氧化物层氧化到选定的深度来形成。可以例如在1000-1100℃的温度使用单个晶片工具、或在800-900℃的温度使用批量反应器工具来执行自由基氧化。对于批量处理,可以在300-500托尔的压力下采用H2和O2气体的混合物,或在10-15托尔的压力下使用单个蒸汽工具采用H2和O2气体的混合物,使用单个晶片工具持续采用1至2分钟的时间,或使用批量处理持续采用30分钟至1小时的时间。
最后,在包括包含氧化物的阻挡介质818的那些实施例中,氧化物可以通过任何合适的方式被形成或沉积。在一个实施例中,阻挡介质818的氧化物是以HTO CVD工艺被沉积的高温氧化物。可选地,阻挡介质818或阻挡氧化物层可以是热生长的,然而应当理解的是,在该实施例中,因为在热生长阻挡氧化物层的过程期间顶部氮化物中的一些将被有效消耗或氧化,所以顶部氮化物厚度可以被调整或增加。第三选择是使用自由基氧化将顶部氮化物层氧化到选定的深度。
底部电荷俘获层824的合适的厚度可以从大约到大约/>(具有一些允许的偏差,例如±10A),其中大约/>可以被自由基氧化消耗以形成反隧穿层828。顶部电荷俘获层826的合适的厚度可以是至少/>在某些实施例中,顶部电荷俘获层826可以形成高达/>的厚度,其中/>可以被自由基氧化消耗以形成阻挡介质818。在一些实施例中,底部电荷俘获层824和顶部电荷俘获层826之间的厚度的比值是大约1:1,但是其它的比值也是可能的。
在其它的实施例中,顶部电荷俘获层826和阻挡介质818中的一者或两者可以包括高K介质。合适的高K介质包括例如HfSiON、HfSiO或HfO的基于铪的材料、例如ZrSiON、ZrSiO或ZrO的基于锆的材料、以及例如Y2O3的基于钇的材料。
在图9A和9B中示出的另一个实施例中,存储设备可以包括由覆盖在衬底上的表面的半导体材料的薄膜形成的纳米线沟道,其连接存储设备的源极和漏极。所谓的纳米线沟道指的是在在结晶硅材料的薄带中形成的、具有大约10纳米(nm)或更小的最大横截面积,并且更加优选的是小于约6nm的最大横截面尺寸。可选地,沟道可以形成具有相对于沟道的长轴的<100>表面结晶取向。
参考图9A,存储设备900包括水平纳米线沟道902,其由在衬底906上的表面上或覆盖衬底906上的表面的半导体材料的薄膜或层形成,该水平纳米线沟道902连接存储设备的源极908和漏极910的。在示出的实施例中,设备具有环绕栅极(GAA)结构,其中纳米线沟道902在所有的侧面上被设备的栅极912包围。栅极912的厚度(在从源极到漏极方向测量的)确定设备的有效沟道长度。
根据本公开,图9A的非平面多栅极存储设备900可以包括分离电荷俘获区。图9B是图9A非平面存储设备的一部分的剖视图,其包括衬底906、纳米线沟道902和栅极912的一部分,,示出了分离电荷俘获区。参考图9B,栅极912包括覆盖纳米线沟道902的隧道氧化物层914、分离电荷俘获区、阻挡介质916和覆盖阻挡层的栅极层918以形成存储设备900的控制栅极。栅极层918可以包括金属或掺杂的多晶硅。多层电荷储存层包含至少一个内部电荷俘获层920和外部电荷俘获层922,内部电荷俘获层920包括更靠近隧道氧化物层914的氮化物,外部电荷俘获层922覆盖内部电荷俘获层。通常外部电荷俘获层922包括富硅、贫氧氮化物层并且包括在多个电荷俘获层中分布的大多数电荷陷阱,而内部电荷俘获层920包括富氧氮化物或氮氧化硅、并且相对于外部电荷俘获层是富氧的以减少其中电荷陷阱的数量。
在例如所示的一些实施例中,多层电荷储存层还包括至少一个薄的中间体或反隧穿层924,其包含例如氧化物的介质,至少一个薄的中间体或反隧穿层924将外部电荷俘获层922和内部电荷俘获层920分开。反隧穿层924基本上减少了在从隧穿到内部电荷俘获层920编程期间电子电荷在外部电荷俘获层922的边界累积的可能性,导致了更低的泄露电流。
正如以上描述的实施例,内部电荷俘获层920和外部电荷俘获层922中的一者或两者可以包括氮化硅或氮氧化硅,并且可以例如通过CVD工艺形成,该CVD工艺包含成比例和以定制的流速的N2O/NH3和DCS/NH3气体混合物以提供富硅和富氧氮氧化物层。多层电荷存储结构的第二氮化物层然后在中间氧化物层上形成。外部电荷俘获层922具有不同于内部电荷俘获层920的化学计量组合物的氧、氮和/或硅的化学计量组合物,并且还可以通过CVD工艺被形成或沉积,该CVD工艺使用包含成比例和以定制的流速的DCS/NH3和N2O/NH3气体混合物的工艺气体以提供富硅、贫氧顶部氮化物层。
在包括包含氧化物的中间体或反隧穿层924的那些实施例中,反隧穿层可以通过使用自由基氧化将内部电荷俘获层920氧化到选定的深度来形成。例如在1000-1100℃的温度使用单个晶片工具,或在800-900℃的温度使用间隙反应器工具,可以执行自由基氧化。对于批量处理,可以在300-500托尔的压力下采用H2和O2气体的混合物,或在10-15托尔的压力下使用单个蒸汽工具采用H2和O2气体的混合物,使用单个晶片工具持续1-2分钟的时间,或使用批量处理持续30分钟至1小时的时间。
最后,在其中阻挡介质916包括氧化物的那些实施例中,氧化物可以通过任何合适的方式形成或沉积。在一个实施例中,阻挡介质916的氧化物是以HTO CVD工艺被沉积的高温氧化物。可选地,阻挡介质916或阻挡氧化物层是可以热生长的,然而应当理解的是,在该实施例中,因为在热生长阻挡氧化物层的过程期间顶部氮化物中的一些将被有效消耗或氧化,所以外部电荷俘获层922的厚度可能需要进行调整或增加。
内部电荷俘获层920的合适的厚度可以从大约到大约/>(具有一些允许的偏差,例如±10A),其中大约/>可以被自由基氧化消耗以形成反隧穿层924。外部电荷俘获层922的合适的厚度可以是至少/>在某些实施例中,外部电荷俘获层922可以形成高达/>的厚度,其中/>可以被自由基氧化消耗以形成阻挡介质916。在一些实施例中,内部电荷俘获层920和外部电荷俘获层922之间的厚度的比值是大约1:1,但是其它的比值也是可能的。
在其它的实施例中,外部电荷俘获层922和阻挡介质916中的一者或两者可以包括高K介质。合适的高K介质包括例如HfSiON、HfSiO或HfO的基于铪的材料、例如ZrSiON、ZrSiO或ZrO的基于锆的材料、以及例如Y2O3的基于钇的材料。
在另一个实施例中,存储设备是非平面设备或包括非平面设备,其包括在半导体材料上形成的或由半导体材料形成的垂直纳米线沟道,其在衬底上的大量导电的、半导体的层上或从在衬底上的大量导电的、半导体的层凸出。在图10A的剖切面中示出的该实施例的一个变体中,存储设备1000包括在半导体材料的圆筒中形成的垂直纳米线沟道1002,该沟道1002连接设备的源极1004和漏极1006。沟道1002被隧道氧化物层1008、多层电荷储存层1110、阻挡层1012和覆盖阻挡层的栅极层1014包围以形成存储设备1000的控制栅极。沟道1002可以包括在半导体材料的实质上固体圆筒的外层中的环形区,或可以包括在介质填充材料的圆筒上方形成的环形区。正如以上所述的水平纳米线,沟道1002可以包括多晶硅或再结晶的多晶硅以形成单晶通道。可选地,其中沟道1002包括晶体硅,沟道可以形成以具有相对于沟道的长轴的<100>表面结晶取向。
在例如图10B中所示的一些实施例中,多层电荷储存层1010可以是包括至少第一或内部电荷俘获层1016和第二或外部电荷俘获层1018的多层电荷储存层,第一或内部电荷俘获层1016最靠近隧道氧化物层1008。可选地,第一电荷俘获层和第二电荷俘获层可以被中间体氧化物或反隧穿层1020分开。
正如以上所述的实施例,第一电荷俘获层1016和第二电荷俘获层1018中的一者或两者可以包括氮化硅或氮氧化硅,并且可以例如通过CVD工艺形成,该CVD工艺包含成比例和以定制的流速的N2O/NH3和DCS/NH3气体混合物以提供富硅和富氧氮氧化物层。
最后,第二电荷俘获层1018和阻挡层1012中的一者或两者可以包括例如HfSiON、HfSiO、HfO、ZrSiON、ZrSiO、ZrO或Y2O3的高K介质。
第一电荷俘获层1016的合适的厚度可以从大约到大约/>(具有一些允许的偏差,例如±10A),其中大约/>可以被自由基氧化消耗以形成反隧穿层1020。第二电荷俘获层1018的合适的厚度可以是至少/>并且阻挡介质1012的合适的厚度可以从大约/>
图10A的存储设备1000可以使用栅极第一方案或栅极最后方案来制造。图11A-F示出了用于制造图10A的非平面多栅极设备的栅极第一方案。图12A-F示出了用于制造图10A的非平面多栅极设备的栅极最后方案。
参考图11A,在栅极第一方案中,例如阻挡氧化物的第一或下部介电层1102在例如在衬底1106中的源极或漏极的第一掺杂的扩散区1104上方形成。栅极层1108被沉积在第一介质层1102上方以形成设备的控制栅极,并且在其上形成第二或上部介电层1110。正如以上所述的实施例,第一介电层1102和第二介电层1110可以通过CVD、自由基氧化被沉积或通过氧化下面层或衬底的一部分来形成。栅极层1108可以包括通过CVD沉积的金属或通过CVD沉积的掺杂的多晶硅。通常栅极层1108的厚度从大约并且第一介电层1102和第二介电层1110的厚度从大约/>
参考图11B,第一开口1112蚀刻通过上覆的栅极层1108、和第一介电层1102和第二介电层1110到衬底1106中的扩散区1104。接着,包括隧道氧化物层1114、多层电荷储存层1116、和阻挡介质1118的层被顺序沉积在开口中,并且上部介电层1110的表面平面化以产生图11C中示出的中间体结构。
尽管没有示出,应当理解的是,如在以上描述的实施例中,多层电荷储存层1116可以包括多层电荷储存层,其包括至少一个下部或底部电荷俘获层和上部或顶部电荷俘获层,下部或底部电荷俘获层更靠近隧道氧化物层1114,上部或顶部电荷俘获层覆盖底部电荷俘获层。通常顶部电荷俘获层包括富硅、贫氧氮化物层并且包括分布在多个电荷俘获层中的大多数电荷陷阱,而底部电荷俘获层包括富氧氮化物或氮氧化硅、并且相对于顶部电荷俘获层是富氧的以减少其中电荷陷阱的数量。在一些实施例中,多层电荷储存层1116还包括至少一个薄的中间层或反隧穿层,其包含例如氧化物的介质,该至少一个薄的中间层或反隧穿层将顶部电荷俘获层和底部电荷俘获层分开。
接着,第二开口或沟道开口1120被各向异性蚀刻通过隧道氧化物层1114、多层电荷储存层1116和阻挡介质1118,图11D。参考图11E,半导体材料1122沉积在沟道开口中以在其中形成垂直沟道1124。垂直沟道1124可以包括在半导体材料的实质上固体圆筒的外层中的环形区,或如图11E中所示,可以包括围绕介质填充材料1126的圆筒的分离的层半导体材料1122。
参考图11F,上部介电层1110的表面是平面化的,并且半导体材料1128的层包括在其中形成的沉积在上部介电层上方的第二掺杂的扩散区1130(例如源极和漏极)以形成示出的设备。
参考图12,在栅极最后方案中,例如氧化物的介电层1202被形成在衬底1206上的表面上的牺牲层1204上方,开口蚀刻通过介电层和牺牲层,并且在其中形成垂直沟道1208。正如以上描述的实施例,垂直沟道1208可以包括在例如多晶硅或单晶硅的半导体材料1210的实质上固体圆筒的外层中的环形区,或可以包括围绕介质填充材料的圆筒的分离的层半导体材料(未示出)。介电层1202可以包括例如氧化硅的能够将随后形成的存储设备1000的栅极层和上覆的电活性层或另一个存储设备电气隔离的任何合适的介质材料。牺牲层1204可以包括任何合适的材料,其可以相对于介电层1202、衬底1206、和垂直沟道1208的材料具有高选择性而蚀刻或移除。
参考图12B,第二开口1212通过蚀刻通过介电层1202和牺牲层1204到衬底1206进行蚀刻,并且牺牲层1204被蚀刻或移除。牺牲层1204可以包括任何合适的材料,其可以相对于介电层1202、衬底1206和垂直沟道1208的材料具有高选择性而蚀刻或移除。在一个实施例中,牺牲层1204包括可以通过缓冲氧化物蚀刻(BOE蚀刻)而被移除的二氧化硅。
参考图12C和12D,隧道氧化物层1214、多层电荷储存层1216、和阻挡介质1218的层被顺序沉积在开口中,并且介电层1202的表面平面化以产生图12C和12D中示出的中间体结构。在一些实施例中,例如在图12D中所示,多层电荷储存层1216可以是多层电荷储存层,其包括至少第一或内部电荷俘获层1216a和第二或外部电荷俘获层1216b,至少第一或内部电荷俘获层1216a最靠近隧道氧化物层1214。可选地,第一电荷俘获层和第二电荷俘获层可以被中间体氧化物或反隧穿层1220分开。
接着,栅极层1222被沉积到第二开口1212中,并且上部介电层1202的表面被平面化以产生图12E中示出的中间体结构。正如以上描述的实施例,栅极层1222可以包括被沉积的金属或掺杂的多晶硅。最后,开口1224被蚀刻通过栅极层1222以形成分离的存储设备1226的控制栅极。
本文描述的电荷保持设备的实施例可以用逻辑电路来实施以充当机器存储器。本领域技术人员将理解的是,存在可以实施所描述的结构的不同的逻辑实施方式,并且优选的媒介将随着其中工艺被部署的环境而变化。例如,如果实施者确定速度和精确度是最重要的,那么实施者可以选择硬件和/或固件媒介;可选地,如果灵活性是最重要的,那么实施者可以选择单独的软件实施方式;或,然而又可选地,实施者可以选择硬件、软件、和/或固件的一些组合。因此,存在可以实施本文描述的设备的很多媒介,其中没有一个媒介是固定地优于其他媒介的,因为任何将被利用的媒介是基于媒介将被部署的环境和实施者的特别关注点(例如速度、灵活性或可预测性)而选择,其中的任何一个可以改变。本领域技术人员将认识到,实施方式可选择的方面可以包括可选择定向的硬件、软件、和或固件。
尽管以上示出或描述如具有仅仅两个氮氧化物层,即顶部层和底部层,本公开并不限于此,并且多层电荷储存层可以包括任何数量n的氮氧化物层,其中的任何层或全部层可以具有不同的氧、氮和/或硅的化学计量组合物。特别地,具有高达五个氮氧化物层、每个具有不同的化学计量组合物的多层电荷储存层已经被生产和测试。然而,如将被本领域技术人员理解的是,通常期望利用尽可能少的层以实现期望的结果,以减少制造设备所必须的工艺步骤,并且从而提供更加简单和更加稳健的制造工艺。此外,因为控制更少层的化学计量组合物和尺寸更加简单,所以利用尽可能少的层还导致了更高的产量。
还应该理解的是,尽管示出和描述为存储设备中的硅氧化物氮氧化物氧化物硅堆栈的一部分,本公开的结构和方法并不限于此,并且硅氧化物氮氧化物氧化物硅结构可以在任何半导体技术中使用或与任何半导体技术一起使用或在需要电荷存储的或需要介电层的或需要堆栈的任何设备中使用,包括,例如在分离栅极闪存、TaNOS堆栈中、在1T(晶体管)SONOS类型单元、2T SONOS类型单元、3T SONOS类型单元、局部2位单元、多级编程或单元、和/或9T或12T非易失性半导体存储(NVSM)单元中使用,这并不脱离本公开的范围。
前述详细描述已经通过使用框图、流程图、和/或示例阐述了设备和/或工艺的各个实施例。在这些框图、流程图、和/或示例的范围包括一个或多个功能和/或操作,本领域的技术人将理解的是,在这些框图、流程图、或示例内的每个功能和/或操作可以通过宽范围的硬件、软件、固件、或实际上它们的任何组合来单独和/或共同实施。
本文描述的结构的实施例可以以专用集成电路(ASIC)、现场可编程门阵列(FPGA)、中央处理单元(CPU)、数字信号处理器(DSP)或其它的集成形式来实施。然而本领域技术人员将认识到,出于存储运行在一个或多个计算机上的数据和/或程序(例如,如,在一个或多个计算机系统上运行的一个或多个程序)的目的,本文公开的实施例的某些方面可以整个地或部分地在专用存储器电路中等价实施,所述数据和/或程序犹如在一个或多个处理器上运行的一个或多个程序(例如,如在一个或多个微处理器上运行的一个或多个程序)、犹如固件,或犹如实际上它们的任意组合。
在一般意义上,本领域技术人员将认识到的是,本文描述的各个结构可以通过宽范围的电路单独地和/或共同地来实施。如本文使用的“电路(electrical circuitry)”包括但不限于,具有至少一个分立电路的电路、具有至少一个集成电路的电路、具有至少一个专用集成电路的电路、形成通过计算机程序配置的通用计算设备的电路(例如通过计算机程序配置的通用计算机,其至少部分执行本文描述的进程和/设备,或通过计算机程序配置的微处理器,其至少部分执行本文描述的进程和/设备)、形成存储设备(例如,随机存取存储器的形式)的电路、和/或形成通信设备(例如,调制解调器、通信交换机、或光电设备)的电路。
本领域技术人员将认识的是,在本文所阐述的方式中,以本领域常见的方式描述设备和/或工艺、并且然后使用标准工程实践以集成这些描述的设备和/或工艺到更大的系统中。也就是说,在没有实践不当的情况下,本文描述的设备和/或进程的至少一部分可以被集成到网络处理系统中。

Claims (21)

1.一种形成非易失性存储设备的方法,包括:
提供半导体衬底;
在所述半导体衬底上形成下部介电层;
在所述下部介电层上形成存储器控制栅极层;
在所述存储器控制栅极层上形成上部介电层;
形成通过所述上部介电层、所述存储器控制栅极层和所述下部介电层的堆栈的第一开口;
在所述第一开口中形成阻挡介电层、电荷储存层和隧道介电层;
从所述第一开口的底部表面移除阻挡介电层、电荷储存层和隧道介电层;以及此后
在所述开口中形成半导体层,其中,所述半导体层的一部分形成所述非易失性存储设备的垂直沟道区;
在所述开口中形成介质填充材料以使得所述介质填充材料在所述开口内由所述半导体层围绕,
其中,形成所述电荷储存层包括形成更靠近所述隧道介电层的第一富氧氮氧化硅层,以及形成更靠近所述阻挡介电层的第二贫氧氮氧化硅层,
其中,所述方法还包括形成将所述第一富氧氮氧化硅层和所述第二贫氧氮氧化硅层分开的反隧穿层。
2.根据权利要求1所述的方法,其中,所述存储器控制栅极层被形成为紧邻所述下部介电层和所述上部介电层并被其夹在所述下部介电层和所述上部介电层之间。
3.根据权利要求1所述的方法,其中,所述半导体层是多晶硅层。
4.根据权利要求1所述的方法,其中,所述存储器控制栅极层由掺杂的多晶硅材料形成。
5.根据权利要求1所述的方法,其中,所述隧道介电层包括具有金属和氧化物的高k介电层。
6.根据权利要求5所述的方法,其中,所述高k介电层包括选自由基于铪的材料、基于锆的材料和基于钇的材料组成的组的材料。
7.根据权利要求1所述的方法,还包括将碳结合到所述第二贫氧氮氧化硅层中。
8.根据权利要求1所述的方法,其中,所述反隧穿层包括氧化物层。
9.根据权利要求1所述的方法,所述电荷储存层是氮氧化物层,其中,氧含量在所述隧道介电层附近增加并且在所述阻挡介电层附近降低。
10.根据权利要求9所述的方法,还包括将碳结合到所述阻挡介电层附近的氮氧化物层中。
11.一种非易失性存储设备,包括:
半导体衬底上的存储器控制栅极层;
垂直穿透所述存储器控制栅极层的通孔;
在所述通孔的侧壁上依次设置的阻挡介电层、电荷储存层和隧道介电层;
在所述通孔内的所述隧道介电层上的半导体层;
填充所述通孔的介质填充材料,使得所述半导体层围绕所述介质填充材料,
其中,所述电荷储存层包括更靠近所述隧道介电层的第一富氧氮氧化硅层、以及更靠近所述阻挡介电层的第二贫氧氮氧化硅层,
其中,所述非易失性存储设备还包括将所述第一富氧氮氧化硅层和所述第二贫氧氮氧化硅层分开的反隧穿层。
12.根据权利要求11所述的非易失性存储设备,其中,所述存储器控制栅极层紧邻下部介电层和上部介电层并被夹在所述下部介电层和所述上部介电层之间。
13.根据权利要求12所述的非易失性存储设备,其中,所述通孔垂直地穿透所述下部介电层和所述上部介电层。
14.根据权利要求11所述的非易失性存储设备,其中,所述半导体层是多晶硅层。
15.根据权利要求11所述的非易失性存储设备,其中,所述存储器控制栅极层由掺杂的多晶硅材料形成。
16.根据权利要求11所述的非易失性存储设备,其中,所述隧道介电层包括具有金属和氧化物的高k介电层。
17.根据权利要求16所述的非易失性存储设备,其中,所述高k介电层包括选自由基于铪的材料、基于锆的材料和基于钇的材料组成的组的材料。
18.根据权利要求11所述的非易失性存储设备,还包括在所述第二贫氧氮氧化硅层中的碳。
19.根据权利要求11所述的非易失性存储设备,其中,所述反隧穿层包括氧化物层。
20.根据权利要求11所述的非易失性存储设备,所述电荷储存层是氮氧化物层,其中,氧含量在所述隧道介电层附近增加并且在所述阻挡介电层附近降低。
21.根据权利要求11所述的非易失性存储设备,还包括将碳结合到所述阻挡介电层附近的氮氧化物层中。
CN202010010002.4A 2012-03-31 2013-03-15 具有多个氮氧化物层的氧化物氮化物氧化物堆栈 Active CN111180525B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010010002.4A CN111180525B (zh) 2012-03-31 2013-03-15 具有多个氮氧化物层的氧化物氮化物氧化物堆栈

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US13/436,872 US9449831B2 (en) 2007-05-25 2012-03-31 Oxide-nitride-oxide stack having multiple oxynitride layers
US13/436872 2012-03-31
CN201380016893.2A CN104321878A (zh) 2012-03-31 2013-03-15 具有多个氮氧化物层的氧化物氮化物氧化物堆栈
PCT/US2013/032339 WO2013148343A1 (en) 2012-03-31 2013-03-15 Oxide-nitride-oxide stack having multiple oxynitride layers
CN202010010002.4A CN111180525B (zh) 2012-03-31 2013-03-15 具有多个氮氧化物层的氧化物氮化物氧化物堆栈

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201380016893.2A Division CN104321878A (zh) 2012-03-31 2013-03-15 具有多个氮氧化物层的氧化物氮化物氧化物堆栈

Publications (2)

Publication Number Publication Date
CN111180525A CN111180525A (zh) 2020-05-19
CN111180525B true CN111180525B (zh) 2023-08-08

Family

ID=49261089

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201380016893.2A Pending CN104321878A (zh) 2012-03-31 2013-03-15 具有多个氮氧化物层的氧化物氮化物氧化物堆栈
CN202010010002.4A Active CN111180525B (zh) 2012-03-31 2013-03-15 具有多个氮氧化物层的氧化物氮化物氧化物堆栈

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201380016893.2A Pending CN104321878A (zh) 2012-03-31 2013-03-15 具有多个氮氧化物层的氧化物氮化物氧化物堆栈

Country Status (6)

Country Link
EP (3) EP3537483A3 (zh)
JP (2) JP6709051B2 (zh)
KR (2) KR102220842B1 (zh)
CN (2) CN104321878A (zh)
TW (2) TWI584450B (zh)
WO (1) WO2013148343A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
KR102352542B1 (ko) * 2012-03-27 2022-01-18 롱지튜드 플래쉬 메모리 솔루션즈 리미티드 스플릿 질화물 메모리 층을 갖는 sonos 스택
WO2013148343A1 (en) * 2012-03-31 2013-10-03 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
JP6306233B1 (ja) * 2017-02-28 2018-04-04 ウィンボンド エレクトロニクス コーポレーション フラッシュメモリおよびその製造方法
RU2740358C1 (ru) * 2017-08-01 2021-01-13 Иллюмина, Инк. Полевые датчики
US20240030331A1 (en) * 2021-08-06 2024-01-25 Innoscience (Suzhou) Technology Co., Ltd. Semiconductor device and method for manufacturing the same
CN114038918A (zh) * 2021-11-08 2022-02-11 珠海创飞芯科技有限公司 一种存储单元、存储单元的制作方法以及存储器

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101170135A (zh) * 2006-10-23 2008-04-30 海力士半导体有限公司 具有电荷陷捕层的非易失性存储器件及其制造方法
CN102034538A (zh) * 2009-09-28 2011-04-27 旺宏电子股份有限公司 一种电荷捕捉存储器及其制造方法

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5348903A (en) * 1992-09-03 1994-09-20 Motorola Inc. Process for fabricating a semiconductor memory cell having thin-film driver transistors overlapping dual wordlines
JPH07153769A (ja) * 1993-11-30 1995-06-16 Hitachi Ltd 半導体集積回路装置の製造方法および製造装置
EP0801427A3 (en) * 1996-04-11 1999-05-06 Matsushita Electric Industrial Co., Ltd. Field effect transistor, semiconductor storage device, method of manufacturing the same and method of driving semiconductor storage device
JP4617574B2 (ja) * 2001-01-16 2011-01-26 ソニー株式会社 不揮発性半導体記憶装置およびその製造方法
US6709928B1 (en) * 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
KR100395762B1 (ko) * 2001-07-31 2003-08-21 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조방법
US7067867B2 (en) * 2002-09-30 2006-06-27 Nanosys, Inc. Large-area nonenabled macroelectronic substrates and uses therefor
KR100790859B1 (ko) * 2002-11-15 2008-01-03 삼성전자주식회사 수직 나노튜브를 이용한 비휘발성 메모리 소자
JP4489359B2 (ja) * 2003-01-31 2010-06-23 株式会社ルネサステクノロジ 不揮発性半導体記憶装置
US7452778B2 (en) * 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
US7612403B2 (en) * 2005-05-17 2009-11-03 Micron Technology, Inc. Low power non-volatile memory and gate stack
EP2013900A1 (en) * 2006-04-26 2009-01-14 Koninklijke Philips Electronics N.V. Non-volatile memory device
JP2008034456A (ja) * 2006-07-26 2008-02-14 Toshiba Corp 不揮発性半導体記憶装置
JP4282699B2 (ja) * 2006-09-01 2009-06-24 株式会社東芝 半導体装置
US8772858B2 (en) * 2006-10-11 2014-07-08 Macronix International Co., Ltd. Vertical channel memory and manufacturing method thereof and operating method using the same
US8283261B2 (en) * 2007-05-25 2012-10-09 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US8067284B1 (en) * 2007-05-25 2011-11-29 Cypress Semiconductor Corporation Oxynitride bilayer formed using a precursor inducing a high charge trap density in a top layer of the bilayer
US8643124B2 (en) * 2007-05-25 2014-02-04 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8063434B1 (en) * 2007-05-25 2011-11-22 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US20090179253A1 (en) * 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
JP2009027134A (ja) * 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
JP2011507231A (ja) * 2007-12-07 2011-03-03 エージェンシー フォー サイエンス,テクノロジー アンド リサーチ シリコン−ゲルマニウムナノワイヤ構造およびその形成方法
JP2009252774A (ja) * 2008-04-01 2009-10-29 Toshiba Corp 半導体記憶装置およびその製造方法
JP2009272348A (ja) 2008-04-30 2009-11-19 Toshiba Corp 半導体装置およびその製造方法
JP2009295694A (ja) * 2008-06-03 2009-12-17 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2010016228A (ja) * 2008-07-04 2010-01-21 Toshiba Corp 不揮発性半導体記憶装置及びその形成方法
US8212237B2 (en) * 2008-07-09 2012-07-03 Qunano Ab Nanostructured memory device
JP5356005B2 (ja) 2008-12-10 2013-12-04 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP5531259B2 (ja) * 2009-03-19 2014-06-25 株式会社東芝 半導体装置及びその製造方法
US8222688B1 (en) * 2009-04-24 2012-07-17 Cypress Semiconductor Corporation SONOS stack with split nitride memory layer
JP2011035228A (ja) 2009-08-04 2011-02-17 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2011199194A (ja) 2010-03-23 2011-10-06 Toshiba Corp 半導体装置の製造方法
JP5514004B2 (ja) * 2010-06-15 2014-06-04 株式会社東芝 半導体記憶装置及びその製造方法
WO2011162725A1 (en) * 2010-06-25 2011-12-29 Agency For Science, Technology And Research Nanowire transistor and method for manufacturing a nanowire transistor
US8890233B2 (en) * 2010-07-06 2014-11-18 Macronix International Co., Ltd. 3D memory array with improved SSL and BL contact layout
KR101738103B1 (ko) * 2010-09-10 2017-05-22 삼성전자주식회사 3차원 반도체 기억 소자
TWI534897B (zh) 2011-01-14 2016-05-21 賽普拉斯半導體公司 具有多重氮氧化物層之氧化物-氮化物-氧化物堆疊
KR20110093746A (ko) * 2011-07-01 2011-08-18 고려대학교 산학협력단 멀티 펑션 4 비트/1 셀 비휘발성 퓨전 메모리 소자 및 그의 제조 방법
KR102352542B1 (ko) * 2012-03-27 2022-01-18 롱지튜드 플래쉬 메모리 솔루션즈 리미티드 스플릿 질화물 메모리 층을 갖는 sonos 스택
WO2013148343A1 (en) * 2012-03-31 2013-10-03 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101170135A (zh) * 2006-10-23 2008-04-30 海力士半导体有限公司 具有电荷陷捕层的非易失性存储器件及其制造方法
CN102034538A (zh) * 2009-09-28 2011-04-27 旺宏电子股份有限公司 一种电荷捕捉存储器及其制造方法

Also Published As

Publication number Publication date
KR20200024952A (ko) 2020-03-09
EP3709370A1 (en) 2020-09-16
KR20140144181A (ko) 2014-12-18
EP3537483A2 (en) 2019-09-11
EP3537483A3 (en) 2019-10-02
JP2020074420A (ja) 2020-05-14
TWI584450B (zh) 2017-05-21
CN111180525A (zh) 2020-05-19
WO2013148343A1 (en) 2013-10-03
JP7042852B2 (ja) 2022-03-28
CN104321878A (zh) 2015-01-28
EP2831917A4 (en) 2015-11-04
KR102220842B1 (ko) 2021-03-02
TW201347151A (zh) 2013-11-16
EP2831917A1 (en) 2015-02-04
TWI615948B (zh) 2018-02-21
JP6709051B2 (ja) 2020-06-10
JP2015516678A (ja) 2015-06-11
TW201724474A (zh) 2017-07-01
KR102085388B1 (ko) 2020-03-05

Similar Documents

Publication Publication Date Title
US11784243B2 (en) Oxide-nitride-oxide stack having multiple oxynitride layers
US10903325B2 (en) Memory transistor with multiple charge storing layers and a high work function gate electrode
US10699901B2 (en) SONOS ONO stack scaling
CN111180525B (zh) 具有多个氮氧化物层的氧化物氮化物氧化物堆栈
US8940645B2 (en) Radical oxidation process for fabricating a nonvolatile charge trap memory device
CN104254921B (zh) 具有分离氮化物存储层的sonos堆栈
US8710578B2 (en) SONOS stack with split nitride memory layer

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant