CN1110081C - 在半导体器件中形成隔离沟槽的方法 - Google Patents

在半导体器件中形成隔离沟槽的方法 Download PDF

Info

Publication number
CN1110081C
CN1110081C CN98125145A CN98125145A CN1110081C CN 1110081 C CN1110081 C CN 1110081C CN 98125145 A CN98125145 A CN 98125145A CN 98125145 A CN98125145 A CN 98125145A CN 1110081 C CN1110081 C CN 1110081C
Authority
CN
China
Prior art keywords
temperature
straight line
layer
semiconductor substrate
insulating barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN98125145A
Other languages
English (en)
Other versions
CN1218988A (zh
Inventor
吴容哲
朴泳雨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1218988A publication Critical patent/CN1218988A/zh
Application granted granted Critical
Publication of CN1110081C publication Critical patent/CN1110081C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure

Abstract

一种在半导体器件内形成沟槽隔离的方法,通过优化退火温度由此除去腐蚀半导体衬底的步骤期间引起的衬底缺陷并释放了应力,增加了沟槽隔离特性,由此提高了器件的成品率和可靠性。

Description

在半导体器件中形成隔离沟槽的方法
本发明涉及制造半导体器件的方法,特别涉及提高沟槽填充层特性的沟槽隔离形成方法。
在半导体衬底上形成隔离器件的技术随着近来趋向高密度器件而成为集成电路工业的最重要的方面之一。不正确的器件隔离将引起漏电流,会消耗整个芯片的大部分的电源。此外,不正确的器件隔离会进一步增加闭锁从而导致临时地或永久性地损坏电路功能。而且,不正确的器件隔离会导致噪声容限降低、电压漂移或交互干扰。
使用常规的LOCOS(硅的局部氧化)工艺被用于形成集成电路上横向隔离有源器件区的区域。
如本领域中公知的,以上提到的结构具有一些由工艺带来的固有缺点,即氮化硅掩模下硅的横向氧化使场氧化物的边缘类似于鸟嘴的形状,以及沟道中止掺杂剂的横向扩散,使掺杂剂侵入有源器件区,使物理沟道宽度小于需要的沟道宽度。当器件缩小比例用于超大规模集成电路(VLSI)增加阈值电压并减少电路驱动能力。
根据以上提到的LOCOS隔离结构的缺点,开发了使用浅沟槽隔离的隔离技术。一般来说,浅沟槽隔离(下文称“STI”)包括以下步骤:将硅衬底腐蚀到预定深度由此形成沟槽,淀积CVD氧化层填充沟槽,并平面化CVD氧化层。
然而,STI技术也具有一些由工艺带来的固有缺点,即在等离子体腐蚀半导体衬底的步骤中衬底缺陷如位错会增加结漏电流、晶体管的源和漏开启不变的现象。
图1示意性地说明了现有技术中为使沟槽填充层密实化而进行的退火过程。
参见图1为密实沟槽填充层的退火步骤包括将温度从400℃到650℃的备用状态1以7.5℃/分钟的速率增加到1000℃的直线上升阶段2、在1000℃密实沟槽填充层的退火步骤4、将温度以3.3℃/分钟的速率从1000℃降低到400℃到650℃的备用状态的直线下降阶段6、分别在退火阶段4之前和之后的备用状态3和5、以及衬底的卸载阶段7。
然而,进行密实沟槽填充层的退火步骤的同时,由腐蚀半导体衬底导致的衬底点缺陷沿特定方向生长由此形成位错平面或位错线。位错平面或位错线通常发生在沟槽的边缘或侧壁,成为漏电流源。这是因为由半导体衬底和沟槽填充层之间的热膨胀系数的差异引起强应力。
本发明致力于解决这些问题,本发明的一个目的是提供一种形成沟槽隔离的方法,可以防止由腐蚀半导体衬底导致的衬底缺陷。
本发明的另一目的是提供一种提供一种形成沟槽隔离的方法,可以减少由沟槽填充层和半导体衬底之间的差异导致的应力。
通过阅读以下公开内容和附带的权利要求书,对本领域的技术人员来说,本发明的其它方案、目的和几个优点将很显然。
要达到这些和其它优点和本发明的目的,在半导体器件内形成沟槽隔离的方法包括在半导体衬底上形成沟槽腐蚀掩模层;构图沟槽掩模层并在要形成沟槽的位置露出半导体衬底;腐蚀半导体衬底由此形成沟槽;在沟槽内形成薄氧化层;用绝缘层填充沟槽;进行至少1000℃以下的第一退火,以去除在腐蚀半导体的步骤期间引起的缺陷,并密实绝缘层;平面化绝缘层;以及进行至少1000℃以上的第二退火,以去除在腐蚀半导体的步骤期间引起的缺陷,并密实绝缘层。
在本发明中,第二退火步骤包括温度从备用状态增加到1000℃的第一直线上升步骤,其中温度以7.5℃/分钟的速率增加;温度从1000℃增加到1150℃的第二直线上升步骤,其中温度以5.0℃/分钟的速率增加;温度在1150℃以上的密实化绝缘层的退火步骤;温度从1150℃以上降低到1000℃的第一直线下降步骤,其中温度以2.0℃/分钟的速率降低;以及温度从1000℃降低到备用状态的第二直线下降步骤,其中温度以3.3℃/分钟的速率降低。
根据本发明,优化退火温度去除由腐蚀半导体衬底造成的衬底缺陷,并释放沟槽填充层和半导体衬底之间热膨胀系数差异引起的应力,由此改善了沟槽隔离的特性、器件的成品率和可靠性。
参考如下附图,本领域的技术人员将理解本发明,本发明的目的将变得显然。
图1为根据现有技术方法密实沟槽填充层的退火方案示意图;
图2a到图2f为根据本发明的实施例形成沟槽隔离的新颖方法的流程图;
图3为根据本发明密实沟槽填充层的退火方案示意图;以及
图4为显示根据本发明的实施例沟槽隔离的剖面图。
现在参考附图介绍本发明的优选实施例。
图2a到图2f为根据本发明的实施例形成沟槽隔离的新颖方法的流程图。
参考图2a,在半导体衬底100上形成沟槽腐蚀掩模层106。沟槽腐蚀掩模层106由焊盘氧化层101、焊盘氮化物层102、HTO(高温氧化物)层103以及ARC(增透膜)层例如氮氧化硅(SiON)104以此顺序层叠而成。具体地,焊盘氧化层101通过热氧化法形成,厚度约70到160。通过如LPCVD(低压化学机械淀积)等的常规方法形成厚度约1500的焊盘氮化物层102,形成厚度约500的HTO层103。形成厚度约600的SiON104。
这里,在随后的腐蚀半导体衬底100并平面化随后的腐蚀填充层的步骤期间,HTO层103和SiON104起腐蚀掩模的作用。SiON104层的作用是在随后的光刻期间获得处理余量和临界尺寸的一致性。
形成沟槽腐蚀掩模层106后,淀积光刻胶层并构图形成预定结构即,限定沟槽形成区(a)和器件隔离区(b)的光刻胶层图形106。使用光刻胶层图形108作为掩模腐蚀沟槽腐蚀掩模层106由此露出对应于沟槽形成区(a)的半导体衬底100。
参考图2b,干腐蚀半导体衬底100的露出部分由此形成沟槽110。沟槽侧壁基本上垂直于衬底或轻微地倾斜。此外,沟槽110上部分的侧壁具有阶梯剖面。在该实施例中,进行腐蚀形成0.25μm沟槽由此具有约0.1μ到0.5μ的深度。在除去光刻胶层图形108的步骤期间,部分沟槽腐蚀掩模层106,即ARC层被腐蚀掉由此露出HTO层103。
参考图2c,在沟槽110内,即在沟槽110的底部和侧壁上生长热氧化层112,由此除去由以上提到的腐蚀半导体衬底100造成的诸如损伤晶格层等的晶格缺陷。侧壁氧化层112的厚度约100到500。
参考图2d,淀积沟槽填充绝缘层113和114填充沟槽110。沟槽填充绝缘层113和114由USG(未掺杂的硅酸盐玻璃)层113和PE-TEOS(原硅酸四乙酯)层114层叠而成。如果沟槽具有约0.25μm的深度,那么淀积厚度约5000的USG层113。
参考图2e,在沟槽填充绝缘层113和114上进行平面化工艺由此形成沟槽隔离113a。这里,焊盘氮化层102起平面化中止层的作用,平面化工艺可以是CMP(化学机械抛光)。
平面化工艺之后,进行退火步骤。下面参考图3介绍退火步骤。
图3为根据本发明密实沟槽填充层的退火方案示意图。现在参考图3,平面化沟槽填充绝缘层113和114后,进行退火步骤以去除由腐蚀半导体衬底100的步骤造成的衬底缺陷,并密实沟槽填充绝缘层113和114。为更详细的介绍,提供温度范围在400℃到650℃之间备用115的第一阶段。下一步骤为第一直线上升阶段116,温度以7.5℃/分钟的速率从备用状态上升到1000℃。第一直线上升阶段116具有与图1的参考数字1示出的1000℃以下的常规退火方案相同的温度增加速率。下一工艺步骤对本发明很关键。进行温度以5.0℃/分钟的速率从1000℃增加到1150℃或更大的第二直线上升阶段117。与第一直线上升阶段116相比,第二直线上升阶段117具有较低温度增加速率。这样将施加到半导体衬底100的应力最小化。下一步骤是退火阶段119,在1150℃或更高温度密实化沟槽填充绝缘层113和114。在氮气氛中进行退火阶段119。参考数字118和120代表退火阶段119之前和之后的备用阶段。退火阶段119之后,是温度以2.0℃/分钟的速率从1150℃或更大温度下降到1000℃第一直线下降阶段121。然后,是温度以3.3℃/分钟的速率从1000℃下降到备用阶段即从400到650℃的第二直线下降阶段122。第二直线下降阶段122具有与图1的参考数字6示出的1000℃以下的常规退火方案相同的温度下降速率。我们必须注意与第二直线下降阶段122相比第一直线下降阶段121具有较低温度下降速率的事实。这样也将施加到半导体衬底100的应力最小化。参考数字123代表用于密实化沟槽填充绝缘层113和114的退火后半导体衬底100的卸载阶段。
此外,进行去除由后接平面化工艺的的腐蚀半导体衬底100的步骤造成的衬底缺陷的退火工艺后,进行用于密实化沟槽填充绝缘层113和114的退火步骤后。这种在平面化工艺之前的退火工艺在1000℃以下的温度氮气环境中进行。
现在,参考图2f,除去焊盘氮化层102和焊盘氧化层101由此形成具有良好特性的沟槽隔离113a。
图4为显示根据本发明的实施例沟槽隔离的剖面图。
参考图4,形成沟槽隔离113a后,栅氧化层124以及栅电极层126和128以次顺序层叠在半导体衬底100内的器件形成区(b)上,构图叠层形成栅结构。因此,栅结构由沟槽隔离113a即器件隔离区相互隔离。
从以上介绍可以知道,根据本发明,形成沟槽隔离的新颖方法提供了优化的退火温度,由此除去了腐蚀半导体衬底的步骤期间引起的衬底缺陷并释放了应力,由此提高了器件的成品率和可靠性。
虽然参考优选实施例具体地显示并介绍了本发明,但本领域的技术人员应该理解可以不脱离本发明的精神和范围做出形式和细节上的不同变化。

Claims (16)

1.一种在半导体器件内形成沟槽隔离的方法,包括以下步骤:
在半导体衬底上形成沟槽腐蚀掩模层;
构图所述沟槽腐蚀掩模层并在要形成沟槽的位置露出所述半导体衬底;
腐蚀所述半导体衬底的露出部分由此形成所述沟槽;
在所述沟槽内形成薄氧化层;
用绝缘层填充所述沟槽;以及
在不低于1150℃的温度进行退火,以便除去在腐蚀所述半导体衬底的步骤期间引起的衬底缺陷。
2.根据权利要求1的方法,其中所述沟槽腐蚀掩模层由焊盘氧化层、焊盘氮化物层、HTO层以及ARC以此顺序层叠而成。
3.根据权利要求1的方法,其中所述沟槽具有在0.1μm到1.5μm范围内的深度。
4.根据权利要求1的方法,其中所述薄氧化层具有100到500的厚度。
5.根据权利要求1的方法,其中所述绝缘层包括USG层。
6.根据权利要求1的方法,其中所述退火步骤包括:
温度以7.5℃/分钟的速率从备用状态增加到1000℃的第一直线上升步骤;
温度以5.0℃/分钟的速率从1000℃增加到1150℃的第二直线上升步骤;
在1150℃或以上的温度密实化绝缘层的退火步骤;
温度以2.0℃/分钟的速率从1150℃或更高温度降低到1000℃的第一直线下降步骤;以及
温度以3.3℃/分钟的速率从1000℃降低到备用状态的第二直线下降步骤。
7.一种在半导体器件内形成沟槽隔离的方法,包括步骤:
在半导体衬底上形成沟槽腐蚀掩模层;
构图所述沟槽腐蚀掩模层并在要形成沟槽的位置露出所述半导体衬底;
腐蚀所述半导体衬底的露出部分由此形成所述沟槽;
在所述沟槽内形成薄氧化层;
用绝缘层填充所述沟槽;
进行不高于1000℃的温度的第一退火,以除去腐蚀所述半导体衬底的步骤期间引起的衬底缺陷并密实化所述绝缘层;
使所述绝缘层平坦化;
进行不低于1000℃的温度的第二退火,以除去腐蚀所述半导体的步骤期间引起的衬底缺陷并密实化所述绝缘层。
8.根据权利要求7的方法,其中所述沟槽腐蚀掩模层由焊盘氧化层、焊盘氮化物层、HTO层以及ARC以此顺序层叠而成。
9.根据权利要求7的方法,其中所述沟槽具有在0.1μm到1.5μm范围内的深度。
10.根据权利要求7的方法,其中所述薄氧化层具有100到500的厚度。
11.根据权利要求7的方法,其中所述绝缘层包括USG层。
12.根据权利要求7的方法,其中所述第二退火步骤包括:
温度从备用状态增加到1000℃的第一直线上升步骤;
温度从1000℃增加到1150℃的第二直线上升步骤;
在1150℃以上的温度密实化绝缘层的退火步骤;
温度从1150℃以上降低到1000℃的第一直线下降步骤;以及
温度从1000℃降低到备用状态的第二直线下降步骤。
13.根据权利要求12的方法,其中与所述第一直线上升步骤相比,所述第二直线上升步骤具有低温度增加速率。
14.根据权利要求12的方法,其中所述第一直线上升步骤以7.5℃/分钟的速率增加温度,所述第一直线上升步骤以5.0℃/分钟的速率增加温度。
15.根据权利要求12的方法,其中所述第一直线下降步骤相比,所述第二直线下降步骤具有低温度下降速率。
16.根据权利要求12的方法,其中所述第一直线下降步骤以2.0℃/分钟的速率下降温度,所述第一直线下降步骤以3.3℃/分钟的速率下降温度。
CN98125145A 1997-12-01 1998-11-26 在半导体器件中形成隔离沟槽的方法 Expired - Fee Related CN1110081C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR65100/1997 1997-12-01
KR1019970065100A KR100253079B1 (ko) 1997-12-01 1997-12-01 반도체 장치의 트렌치 격리 형성 방법
KR65100/97 1997-12-01

Publications (2)

Publication Number Publication Date
CN1218988A CN1218988A (zh) 1999-06-09
CN1110081C true CN1110081C (zh) 2003-05-28

Family

ID=19526185

Family Applications (1)

Application Number Title Priority Date Filing Date
CN98125145A Expired - Fee Related CN1110081C (zh) 1997-12-01 1998-11-26 在半导体器件中形成隔离沟槽的方法

Country Status (4)

Country Link
US (1) US6140242A (zh)
JP (1) JP4498483B2 (zh)
KR (1) KR100253079B1 (zh)
CN (1) CN1110081C (zh)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100325602B1 (ko) * 1999-05-11 2002-02-25 황인길 반도체 소자의 제조 방법
TW432594B (en) * 1999-07-31 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method for shallow trench isolation
JP3539483B2 (ja) * 1999-09-28 2004-07-07 シャープ株式会社 半導体装置の製造方法
EP1104936A1 (en) * 1999-11-25 2001-06-06 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device, and semiconductor device manufactured thereby
KR100637978B1 (ko) * 1999-12-31 2006-10-23 동부일렉트로닉스 주식회사 급속 열처리 방법
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
KR100375229B1 (ko) * 2000-07-10 2003-03-08 삼성전자주식회사 트렌치 소자분리 방법
US6649486B1 (en) * 2000-10-06 2003-11-18 Chartered Semiconductor Manufacturing Ltd. Method to form shallow trench isolations
JP3650022B2 (ja) * 2000-11-13 2005-05-18 三洋電機株式会社 半導体装置の製造方法
US20030194871A1 (en) * 2002-04-15 2003-10-16 Macronix International Co., Ltd. Method of stress and damage elimination during formation of isolation device
KR100470724B1 (ko) * 2002-07-09 2005-03-10 삼성전자주식회사 반도체 장치의 제조에서 필링막 형성 방법 및 이를 이용한트랜치 소자 분리 방법
US7431967B2 (en) 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7176138B2 (en) * 2004-10-21 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective nitride liner formation for shallow trench isolation
KR100609234B1 (ko) * 2004-12-29 2006-08-02 동부일렉트로닉스 주식회사 하부 반사방지막의 얕은 트랜치 절연 형성 방법
US20060234467A1 (en) * 2005-04-15 2006-10-19 Van Gompel Toni D Method of forming trench isolation in a semiconductor device
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
CN103367395B (zh) * 2012-03-29 2016-09-21 中国科学院微电子研究所 半导体器件及其制造方法
US8829642B2 (en) 2012-03-29 2014-09-09 The Institute of Microelectronics, Chinese Academy of Science Semiconductor device and method for manufacturing the same
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP5685615B2 (ja) * 2013-03-25 2015-03-18 東京エレクトロン株式会社 マイクロ波加熱処理方法
CN107658300A (zh) * 2017-09-26 2018-02-02 上海华虹宏力半导体制造有限公司 一种闪存制造方法
CN110890314A (zh) * 2018-09-11 2020-03-17 长鑫存储技术有限公司 一种半导体器件的绝缘层的制备方法
CN116525456A (zh) * 2023-07-03 2023-08-01 粤芯半导体技术股份有限公司 基于tddb优化的mosfet器件制备方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60111437A (ja) * 1983-11-22 1985-06-17 Toshiba Corp 半導体装置の製造方法
JP3157357B2 (ja) * 1993-06-14 2001-04-16 株式会社東芝 半導体装置
JP2595450B2 (ja) * 1993-09-08 1997-04-02 日精樹脂工業株式会社 成形機における油圧系の異常検出方法およびその装置
JPH0786393A (ja) * 1993-09-17 1995-03-31 Toshiba Corp 半導体素子の素子分離方法
JPH07249680A (ja) * 1994-03-09 1995-09-26 Toshiba Corp 誘電体分離基板及びその製造方法
JP3286081B2 (ja) * 1994-03-30 2002-05-27 株式会社リコー 半導体装置の製造方法
JPH07273121A (ja) * 1994-03-31 1995-10-20 Toshiba Corp 半導体装置の製造方法
US5395790A (en) * 1994-05-11 1995-03-07 United Microelectronics Corp. Stress-free isolation layer
JP3584544B2 (ja) * 1995-06-06 2004-11-04 株式会社デンソー 半導体装置の製造方法
US5643823A (en) * 1995-09-21 1997-07-01 Siemens Aktiengesellschaft Application of thin crystalline Si3 N4 liners in shallow trench isolation (STI) structures
JPH09260484A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 半導体装置の製造方法
US5926722A (en) * 1997-04-07 1999-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Planarization of shallow trench isolation by differential etchback and chemical mechanical polishing
US5786262A (en) * 1997-04-09 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Self-planarized gapfilling for shallow trench isolation
JPH10289946A (ja) * 1997-04-14 1998-10-27 Toshiba Corp 半導体装置の製造方法
JPH118298A (ja) * 1997-06-13 1999-01-12 Toshiba Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
KR100253079B1 (ko) 2000-04-15
JP4498483B2 (ja) 2010-07-07
JPH11233612A (ja) 1999-08-27
CN1218988A (zh) 1999-06-09
KR19990046929A (ko) 1999-07-05
US6140242A (en) 2000-10-31

Similar Documents

Publication Publication Date Title
CN1110081C (zh) 在半导体器件中形成隔离沟槽的方法
US6844240B2 (en) Semiconductor device having trench isolation
US7795110B2 (en) Trench isolation type semiconductor device which prevents a recess from being formed in a field region and method of fabricating the same
KR100209367B1 (ko) 반도체 소자의 소자분리 절연막 형성방법
CN113013028A (zh) 栅间氧化层的形成方法和屏蔽栅沟槽型器件的形成方法
US6503815B1 (en) Method for reducing stress and encroachment of sidewall oxide layer of shallow trench isolation
CN1146033C (zh) 使用隔离间隔层改进自对准接触工艺流程的方法
KR100559033B1 (ko) 반도체 소자의 쉘로우 트렌치 소자분리막 형성 방법
KR19990010757A (ko) 반도체 장치의 소자 분리 방법
KR20020085390A (ko) 트랜치 소자분리 방법
CN1450621A (zh) 形成隔离元件时消除应力与损伤的方法
US6586313B2 (en) Method of avoiding the effects of lack of uniformity in trench isolated integrated circuits
US6040233A (en) Method of making a shallow trench isolation with thin nitride as gate dielectric
CN1064779C (zh) 半导体器件中的隔离方法
CN1233033C (zh) 减少隔离元件对于有源区域的应力与侵蚀效应的方法
US20020045324A1 (en) Method for forming shallow trench isolation
US20230420262A1 (en) Semiconductor Structure and Method for Forming the Same
CN1444264A (zh) 微浅绝缘沟槽结构制备法
KR100429678B1 (ko) 반도체소자의 소자분리막 형성방법
CN1242466C (zh) 降低浅沟渠隔离侧壁氧化层应力与侵蚀的方法
CN1404129A (zh) 降低浅沟渠隔离侧壁氧化层应力的方法
KR100545184B1 (ko) 트랜치 소자분리를 위한 반도체 소자의 트랜치 형성 방법
KR100508638B1 (ko) 반도체 소자 제조 방법
KR19990004577A (ko) 반도체소자의 소자분리절연막 형성방법
US20030017678A1 (en) Method of reducing stress and encroachment effect of isolation device on active regions

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20030528

Termination date: 20091228