CN110527512B - 蚀刻剂组合物和硅烷化合物 - Google Patents

蚀刻剂组合物和硅烷化合物 Download PDF

Info

Publication number
CN110527512B
CN110527512B CN201910433994.9A CN201910433994A CN110527512B CN 110527512 B CN110527512 B CN 110527512B CN 201910433994 A CN201910433994 A CN 201910433994A CN 110527512 B CN110527512 B CN 110527512B
Authority
CN
China
Prior art keywords
silane compound
etchant composition
group
chemical formula
independently
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910433994.9A
Other languages
English (en)
Other versions
CN110527512A (zh
Inventor
金喆禹
李帝豪
咸珍守
郭宰熏
李宗昊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Corp
SK Innovation Co Ltd
Original Assignee
SK CORP
SK Innovation Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SK CORP, SK Innovation Co Ltd filed Critical SK CORP
Publication of CN110527512A publication Critical patent/CN110527512A/zh
Application granted granted Critical
Publication of CN110527512B publication Critical patent/CN110527512B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0834Compounds having one or more O-Si linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/02Phosphorus compounds
    • C07F9/28Phosphorus compounds with one or more P—C bonds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/02Phosphorus compounds
    • C07F9/28Phosphorus compounds with one or more P—C bonds
    • C07F9/50Organo-phosphines
    • C07F9/5004Acyclic saturated phosphines
    • C07F9/5009Acyclic saturated phosphines substituted by B, Si, P or a metal
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/02Phosphorus compounds
    • C07F9/28Phosphorus compounds with one or more P—C bonds
    • C07F9/50Organo-phosphines
    • C07F9/5022Aromatic phosphines (P-C aromatic linkage)
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/02Phosphorus compounds
    • C07F9/28Phosphorus compounds with one or more P—C bonds
    • C07F9/50Organo-phosphines
    • C07F9/53Organo-phosphine oxides; Organo-phosphine thioxides
    • C07F9/5325Aromatic phosphine oxides or thioxides (P-C aromatic linkage)
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/02Phosphorus compounds
    • C07F9/547Heterocyclic compounds, e.g. containing phosphorus as a ring hetero atom
    • C07F9/6561Heterocyclic compounds, e.g. containing phosphorus as a ring hetero atom containing systems of two or more relevant hetero rings condensed among themselves or condensed with a common carbocyclic ring or ring system, with or without other non-condensed hetero rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/02Phosphorus compounds
    • C07F9/547Heterocyclic compounds, e.g. containing phosphorus as a ring hetero atom
    • C07F9/6596Heterocyclic compounds, e.g. containing phosphorus as a ring hetero atom having atoms other than oxygen, sulfur, selenium, tellurium, nitrogen or phosphorus as ring hetero atoms
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0405Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising semiconducting carbon, e.g. diamond, diamond-like carbon
    • H01L21/042Changing their shape, e.g. forming recesses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Molecular Biology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Weting (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

一种蚀刻剂组合物,其包括磷酸和由以下化学式1表示的硅烷化合物:[化学式1]
Figure DDA0002069934590000011
其中A为n价基团,L为C1‑C5亚烃基,R1‑R3独立地为氢、羟基、烃基或烷氧基,其中R1‑R3各自存在或通过杂元素相互连接,n为2‑5的整数。

Description

蚀刻剂组合物和硅烷化合物
相关申请的交叉引用
本申请要求于2018年5月26日向韩国知识产权局提交的第10-2018-0060000号韩国专利申请的优先权,其公开内容通过引用整体并入本文。
技术领域
本发明涉及蚀刻剂组合物,更具体地,涉及具有高选择比的蚀刻剂组合物,其可以在最小化氧化物膜的蚀刻速率的同时选择性地去除氮化物膜。此外,本发明涉及适合用作蚀刻剂组合物的添加剂的硅烷化合物。
背景技术
诸如氧化硅(SiO2)膜的氧化物膜和诸如氮化硅(SiNx)膜的氮化物膜是代表性的绝缘膜,并且在半导体制造工艺中,氧化硅膜或氮化硅膜单独使用或以层压材料的形式(其中一种或多种薄膜交替堆叠)使用。此外,氧化物膜或氮化物膜也用作用于形成诸如金属布线的导电图案的硬掩模。
在用于去除氮化物膜的湿法蚀刻工艺中,通常使用磷酸和去离子水的混合物。添加去离子水是为了防止蚀刻速率的降低和对氧化膜的蚀刻选择性的变化。然而,存在的问题是,即使供应的去离子水的量发生微小变化,在氮化物膜蚀刻去除工艺中也会出现缺陷。此外,磷酸是强酸和腐蚀性的,因此难以处理。
为了解决该问题,存在一种传统上已知的使用在磷酸(H3PO4)中包含氟酸(HF)、硝酸(HNO3)等的蚀刻剂组合物去除氮化物膜的技术,但是引起抑制氮化膜和氧化膜的蚀刻选择比的结果。此外,还已知使用包含磷酸和硅酸盐或硅酸的蚀刻剂组合物的技术;然而,硅酸或硅酸盐存在产生可能影响基板的颗粒的问题,因此非常不适合半导体制造工艺。
同时,当磷酸用于湿法蚀刻工艺以去除氮化物膜时,由于氮化物膜和氧化物膜之间的蚀刻选择比降低,不仅蚀刻氮化物膜而且蚀刻SOD氧化物膜,因此难以调节有效场氧化高度(EFH)。因此,可能无法确保用于去除氮化物膜的足够的湿蚀刻时间,或者需要额外的工艺,这会引起变化并且对器件特性具有不良影响。
因此,目前需要一种具有高选择比的蚀刻剂组合物,其相对于氧化物膜选择性地蚀刻氮化物膜,并且在半导体制造工艺中不存在诸如产生颗粒的问题。
发明内容
本发明的一个方面可以提供具有高选择比的蚀刻剂组合物以及蚀刻剂组合物中使用的硅烷化合物,该蚀刻剂组合物可以在最小化氧化物膜的蚀刻速率的同时选择性地去除氮化物膜,并且不存在诸如对器件特性具有不良影响的颗粒产生的问题。
根据本发明的一个方面,蚀刻剂组合物可包括磷酸和由以下化学式1表示的硅烷化合物:
[化学式1]
Figure BDA0002069934570000021
其中A为n价基团,L为C1-C5亚烃基,R1-R3独立地为氢、羟基、烃基或烷氧基,其中R1-R3各自存在或通过杂元素相互连接,n为2-5的整数。
根据示例性实施方案,提供了蚀刻剂组合物,其中R1-R3独立地为C1-C20烷氧基或R1-R3为通过氮彼此连接的C1-C20烷氧基。
根据示例性实施方案,提供了蚀刻剂组合物,其中A为C1-C20亚烃基、具有N作为结合位点的基团、具有O作为结合位点的基团、具有S作为结合位点的基团、具有P作为结合位点的基团或胺盐基团。
根据示例性实施方案,提供了蚀刻剂组合物,其中C1-C20亚烃基为C1-C20亚烷基或C6-C20亚芳基。
在示例性实施方案中,提供了蚀刻剂组合物,其中具有N作为结合位点的基团为*-NR14-*、*-NR15CSNR16-*、*-NR17CONR18-*、*-NR19L1NR20-*、*-NR21CONR22L2NR23CONR24-*、*-NR25CONL3L4NCONR26-*、
Figure BDA0002069934570000031
其中R14-R27独立地为氢、C1-C20烷基或C6-C20芳基,L1-L5为C1-C20亚烷基、C6-C20亚芳基或R41(OR42)p,其中R41和R42独立地为C1-C20亚烷基,p为1-5的整数,L6为直接键或(CH2)qNR43NR44,其中R43和R44独立地为氢、C1-C20烷基或C6-C20芳基,q为1-5的整数。
在示例性实施方案中,提供了蚀刻剂组合物,其中具有O作为结合位点的基团为*-O-*。
在示例性实施方案中,提供了蚀刻剂组合物,其中具有S作为结合位点的基团为*-S-*、*-S-S-*、
Figure BDA0002069934570000032
在示例性实施方案中,提供了蚀刻剂组合物,其中具有P作为结合位点的基团为
Figure BDA0002069934570000033
其中R28和R29独立地为氢、C1-C20烷基、C6-C20芳基、(C1-C20)烷氧基或(C1-C20)烷基(C1-C20)烷氧基。
在示例性实施方案中,提供了蚀刻剂组合物,其中胺盐基团为*-N+(R11R12)X3 --*或
Figure BDA0002069934570000034
其中R11和R12独立地为氢、C1-C20烷基或C6-C20芳基,
X1-X3独立地为卤素或C1-C20烷基碳酸盐基团。
在示例性实施方案中,提供了其中n为2的蚀刻剂组合物。
在示例性实施方案中,提供了蚀刻剂组合物,其中硅烷化合物为选自以下结构式1-38中的至少一种:
Figure BDA0002069934570000041
Figure BDA0002069934570000051
Figure BDA0002069934570000061
其中R为氢、C1-C20烷基或C6-C20芳基。
在示例性实施方案中,提供了蚀刻剂组合物,其中相对于全部蚀刻剂组合物,硅烷化合物的含量为0.001-1重量%。
在示例性实施方案中,提供了蚀刻剂组合物,其进一步包括由以下化学式2表示的硅烷化合物:
[化学式2]
Figure BDA0002069934570000062
其中R71-R74独立地为氢、烃基或杂烃基。
在示例性实施方案中,提供了蚀刻剂组合物,其进一步包括铵盐。
根据本发明的另一方面,提供了由以下化学式1表示的硅烷化合物,其作为蚀刻剂组合物的添加剂:
[化学式1]
Figure BDA0002069934570000071
其中R1-R3独立地为氢、羟基、烃基或烷氧基,其中R1-R3各自存在或通过杂元素彼此连接,L为C1-C5亚烃基,n为2-4的整数,A为*-N+(R11R12)X3 --*、
Figure BDA0002069934570000072
*-NR19L1NR20-*、*-NR21CONR22L2NR23CONR24-*、
Figure BDA0002069934570000073
Figure BDA0002069934570000074
其中R11、R12、R19-R24和R27独立地为氢、C1-C20烷基或C6-C20芳基,X1-X3独立地为卤素或C1-C20烷基碳酸盐基团,L1和L5为C1-C20亚烷基或C6-C20亚芳基,L2为C1-C20亚烷基、C6-C20亚芳基或R41(OR42)p,其中R41和R42为C1-C20亚烷基,p为1-5的整数,L6为直接键或(CH2)qNR43CONR44,其中R43和R44独立地为氢、C1-C20烷基或C6-C20芳基,q为1-5的整数。
在另一个示例性实施方案中,提供了由化学式1表示的硅烷化合物,其选自由以下结构式表示的化合物:
Figure BDA0002069934570000075
Figure BDA0002069934570000081
附图说明
通过以下详细描述并结合附图,将更清楚地理解本发明的以上和其他方面、特征和其他优点,其中:
图1和2为示出闪存器件的器件分离过程的工艺截面图。
具体实施方式
在下文中,将参考附图详细描述本发明中的示例性实施方案。
由于本发明可以进行各种修改并且具有若干示例性实施方案,因此将在实施方案中示出特定示例性实施方案并且在详细说明中对其进行详细描述。然而,应当理解,本发明不限于特定示例性实施方案,而是在不脱离本发明的范围和精神的情况下,包括所有修改、等同物和替换。
本发明中使用的术语仅用于描述特定示例性实施方案而不是限制本发明。除非在上下文中另有说明,否则单数形式旨在包括复数形式。将进一步理解,本说明书中使用的术语“包括”或“具有”列举所述特征、步骤、操作、组件、部件或其组合的存在,但不排除存在或添加一个或多个其他特征、数字、步骤、操作、组件、部件或其组合。
根据本发明的示例性实施方案的蚀刻剂组合物包括磷酸和硅烷化合物。
磷酸可以与氮化硅反应以蚀刻氮化物。氮化硅和磷酸可以按以下式所示进行反应并蚀刻:
3Si3N4+27H2O+4H3PO4→4(NH4)3PO4+9SiO2H2O(1)
磷酸可以为,例如,浓度为80%的含有磷酸的磷酸水溶液,但不限于此。在磷酸水溶液中使用的水没有特别限制,但优选为去离子水。
硅烷化合物可由以下化学式1表示:
[化学式1]
Figure BDA0002069934570000091
在化学式1中,R1-R3可以独立地为氢、羟基、烃基或烷氧基,其中R1-R3各自存在或通过杂元素彼此连接。更具体地,R1-R3可以独立地为C1-C20烷氧基或通过作为杂元素的氮彼此连接的C1-C20烷氧基。
L可以为直接键或C1-C5亚烃基,例如,C1-C3亚烃基,更具体地为C1-C3亚烷基。
此外,n可以为2-4的整数。
A代表n价基团。例如,A可以为亚烃基、具有N作为结合位点的基团、具有O作为结合位点的基团、具有S作为结合位点的基团、具有P作为结合位点的基团、胺盐基团等。
亚烃基可以为C1-C20亚烷基或C6-C20亚芳基,更具体地,例如,(CH2)2、(CH2)6、亚苯基等。在这种情况下,n为整数2。
当A为具有N作为结合位点的基团时,在n为整数2的情况下,A可以例如为*-NR14-*,例如*-NH-*;*-NR15CSNR16-*,例如*-NHCSNH-*;*-NR17CONR18-*,例如*-NHCONH-*;*-NR19L1NR20-*,例如*-NH(CH2)3NH-*或*-NCH3(CH2)3NCH3-*;*-NR21CONR22L2NR23CONR24-*,例如*-NHCONH(CH2)2NHCONH-*、*-NHCONH(C6H4)NHCONH-*或*-NHCONH(CH2)2O(CH2)2O(CH2)2NHCONH-*;*-NR25CONL3L4NCONR26-*,例如*-NHCON(CH2)2(CH2)2NCONH-*等。另外,在n为整数3的情况下,A可以为
Figure BDA0002069934570000101
此外,在n为整数4的情况下,A可以为
Figure BDA0002069934570000102
在此,R14-R27独立地为氢、C1-C20烷基或C6-C20芳基,L1-L5为C1-C20亚烷基、C6-C20亚芳基或R41(OR42)p,其中R41和R42独立地为C1-C20亚烷基,p为1-5的整数,L6为直接键或(CH2)qNR43NR44,其中R43和R44独立地为氢、C1-C20烷基或C6-C20芳基,q为1-5的整数。
具有O作为结合位点的基团可以为例如*-O-*,并且在这种情况下,n为整数2。
具有S作为结合位点的基团可以为例如*-S-*、*-S-S-*、
Figure BDA0002069934570000103
Figure BDA0002069934570000104
并且在这种情况下,n为整数2。
同时,具有P作为结合位点的基团可以为例如
Figure BDA0002069934570000105
Figure BDA0002069934570000111
并且在这种情况下,n为整数2或3,其中R28和R29可以独立地为氢、C1-C20烷基、C6-C20芳基、(C1-C20)烷氧基或(C1-C20)烷基(C1-C20)烷氧基。
此外,A可以为胺盐基团,具体地,为*-N+(R11R12)X3 --*或
Figure BDA0002069934570000112
并且n为整数2,其中R11和R12可以独立地为氢、C1-C20烷基或C6-C20芳基,X1-X3可以独立地为卤素或C1-C20烷基碳酸盐基团。
如上所述由化学式1表示的硅烷化合物可以为例如以下所示的硅烷化合物:
Figure BDA0002069934570000113
Figure BDA0002069934570000121
Figure BDA0002069934570000131
其中R为氢、C1-C20烷基或C6-C20芳基。
如上所述的硅烷化合物具有两种或多种硅烷,从而与氧化物膜反应以在氧化物膜的表面上形成保护膜,这抑制了氧化物膜被磷酸蚀刻。因此,当通过磷酸蚀刻去除氮化物膜时,硅烷化合物可以适当地用作蚀刻剂添加剂,以提高相对于氧化物膜对氮化物膜的选择性。
包含在硅烷化合物中的氧原子键合到氧化物膜的表面以保护氧化物膜,并且可以氢键键合到氧化物膜的表面,从而在蚀刻剂组合物蚀刻氮化物期间最小化氧化物膜的蚀刻。特别地,本发明中提供的硅烷化合物具有两个或多个硅烷基团,从而具有与氧化物膜表面的高键合性,以进一步提高相对于氧化物膜对氮化物膜的蚀刻选择性。因此,包括本发明的硅烷化合物的蚀刻剂可以提高对氮化物膜的选择性,同时最小化氧化物膜的蚀刻速率。
同时,在上述式(1)中,SiO2H2O可能沉淀在氧化物膜的表面上,从而增加氧化物膜的厚度。这种现象被称为异常生长。特别地,当该现象通过氮化物的蚀刻工艺的累积而在蚀刻剂组合物中发生时,蚀刻剂组合物中的SiO2H2O的浓度可能增加,并且SiO2H2O浓度的增加导致异常生长的发生率增加。也就是说,即使在初始蚀刻剂组合物中不发生SiO2H2O的异常生长的情况下,异常生长的发生率也随着累积过程数量的增加而增加。然而,当包括本发明的硅烷化合物时,可以抑制这样的异常生长现象的发生。
基于蚀刻剂组合物的总重量,本发明的硅烷化合物可以以0.001-1重量%的含量添加。由于本发明中使用的硅烷化合物具有如上所述的两个或更多个硅烷基团,因此即使在向蚀刻剂组合物中少量添加的情况下,本发明中提出的硅烷化合物也可以有效地保护氧化硅膜。从而提高相对于氧化物膜对氮化物的蚀刻选择性。具体地,当硅烷化合物的用量小于0.001重量%时,难以获得相对于氧化物膜对氮化物膜的高选择性的效果,并且当使用量大于1重量%时,硅烷化合物形成胶体,这不是优选的。例如,硅烷化合物可以以0.001-0.7重量%、0.002-0.7重量%、0.002-0.5重量%、0.005-0.5重量%等的量使用。
本发明的蚀刻剂组合物可进一步包括由以下化学式2表示的硅烷化合物:
[化学式2]
Figure BDA0002069934570000151
其中R71-R74独立地为氢、烃基或杂烃基。
基于蚀刻剂组合物的总重量,由化学式2表示的硅烷化合物的含量可以为0.005-1重量%。
此外,可以添加铵盐。铵盐可以防止蚀刻剂组合物凝胶化,并且可以以总重量的0.001-10重量%的量添加。当铵盐的加入量小于0.001重量%时,降低凝胶化的物理性能改善效果不明显,当加入量大于10重量%时,铵盐可能引起凝胶化。
铵盐是具有铵离子的化合物,并且本发明所属领域中常用的那些也可以适当地用于本发明中。铵盐可以为例如氨水、氯化铵、乙酸铵、磷酸铵、过二硫酸铵、硫酸铵、氟硼酸铵等,但不限于此,它们可以单独使用或者两种或多种组合使用。
此外,本发明的蚀刻剂组合物可以进一步包括本领域常用的任选添加剂,用于进一步改善蚀刻性能。添加剂可包括表面活性剂、金属离子螯合剂、腐蚀抑制剂等。
本发明的蚀刻剂组合物用于通过蚀刻来从包括氧化物膜和氮化物膜的半导体器件中选择性地去除氮化物膜,并且氮化物膜可以包括氮化硅膜,例如,SiN膜、SiON膜等。
此外,氧化物膜可以为选自氧化硅膜(例如,旋涂电介质(SOD)膜)、高密度等离子体(HDP)膜、热氧化物膜、硼磷硅酸盐玻璃(BPSG)膜、磷硅酸玻璃(PSG)膜、硼硅酸盐玻璃(BSG)膜、聚硅氮烷(PSZ)膜、氟化硅酸盐玻璃(FSG)膜、低压原硅酸四乙酯(LPTEOS)膜、等离子体增强的原硅酸四乙酯(PETEOS)膜、高温氧化物(HTO)膜、中温氧化物(MTO)膜、未掺杂的硅酸盐玻璃(USG)膜、旋涂玻璃(SOG)膜、先进平坦化层(APL)膜、原子层沉积(ALD)膜、等离子体增强氧化物(Pe-氧化物)膜、O3-四乙基原硅酸盐(O3-TEOS)膜或它们的组合中的至少一种膜。
使用本发明的蚀刻剂组合物的蚀刻工艺可以通过本领域公知的湿法蚀刻方法进行,例如浸渍、喷涂等。
使用本发明的蚀刻剂组合物的蚀刻工艺的实例示意性地示于图1和2中。作为实例,图1和2为示出闪存器件的器件分离过程的工艺截面图。
首先,如图1所示,在基板10上依次形成隧道氧化膜11、多晶硅膜12、缓冲氧化膜13和垫氮化物膜14,然后选择性地蚀刻多晶硅膜12、缓冲氧化膜13和垫氮化物膜14以形成沟槽。随后,形成SOD氧化物膜15直到沟槽间隙被填充,然后使用垫氮化物膜14作为抛光停止膜在SOD氧化物膜15上进行CMP工艺。
接下来,如图2所示,使用如上所述的根据本发明的蚀刻剂组合物通过湿法蚀刻去除垫氮化物膜14,然后通过洗涤工艺去除缓冲氧化物膜13。结果,在字段区(field area)中形成器件分离膜15A。
在蚀刻工艺期间,处理温度可以在50-300℃的范围内,优选地在100-200℃的范围内,更优选地在156-163℃的范围内,并且考虑到其他工艺和其他因素,可以根据需要改变适用的温度。
这样,根据包括使用本发明的蚀刻剂组合物进行的蚀刻工艺的半导体器件的制造方法,当氮化物膜和氧化物膜交替堆叠或混合时,可以选择性地蚀刻氮化膜。此外,可以防止在传统蚀刻工艺中存在的颗粒产生的问题,以确保稳定性和可靠性。
因此,该方法可以有效地应用在半导体器件制造工艺中需要相对于氧化物膜选择性蚀刻氮化物膜的各种工艺。
实施例
在下文中,将通过实施例详细描述本发明。以下实施例涉及本发明的实例,但是本发明不限于此。
合成例1
硅烷化合物1
将搅拌棒置于250ml圆底烧瓶中,在其中安装回流冷凝器,并向其中加入9.8g烯丙基醚、100ml甲苯和0.5ml铂(0)-1,3-二乙烯基-1,1,3,3-四甲基二硅氧烷络合物溶液(Pt~2%)。
加入37g三甲氧基硅烷并将温度升至50℃。
搅拌24小时后,使用旋转浓缩器浓缩反应物。
使用硅胶和四氢呋喃过滤所得产物,并在减压下干燥,以得到30g由下式表示的硅烷化合物1:
Figure BDA0002069934570000171
1H-NMR(CDCl3)3.55(s,18H),2.52(t,J=7.0Hz,4H),1.42(qui,J=9.0Hz,4H),0.58(t,J=7.0Hz,4H)
合成例2
硅烷化合物2
将搅拌棒置于250ml圆底烧瓶中,并向其中加入34g双[(3-三甲氧基甲硅烷基)丙基]胺和100ml二氯甲烷。
使用冰浴冷却反应物,并在1小时内向其中缓慢加入0.6g乙酸。
添加完成后,将温度升至常温,将产物进一步搅拌1小时,并在减压下干燥,以得到40g由下式表示的硅烷化合物2:
Figure BDA0002069934570000172
1H-NMR(CDCl3)3.60(s,18H),3.33(t,J=7.0Hz,4H),2.20(s,3H),2.1(qui,J=9.0Hz,4H),0.60~0.55(m,4H)
合成例3
硅烷化合物3
将搅拌棒置于250ml圆底烧瓶中,在其中安装回流冷凝器,并向其中加入20g 1,3-二溴丙烷和100ml甲苯。
加入52g 3-氨基丙基三异丙基硅烷,将温度升至110℃,并将反应物搅拌24小时。
冷却至常温后,加入10g三乙胺,制成白色固体后,用过滤器除去固体,并减压干燥滤液,以得到45g由下式表示的硅烷化合物3:
Figure BDA0002069934570000181
1H-NMR(CDCl3)3.57(qui,J=6.8Hz,6H),2.62~2.50(m,8H),2.0(br,2H),1.58(qui,J=7.0Hz,2H),1.50(qui,J=9.0Hz,4H),1.24(d,J=6.8Hz,36H),0.60~0.55(m,4H)
合成例4
硅烷化合物4
将搅拌棒置于250ml圆底烧瓶中,在其中安装Dean-Stark分离器,并向其中加入32g双(三甲氧基甲硅烷基)己烷和30g三乙醇胺。
加入100ml甲苯,将温度升至90℃以除去甲醇,并将反应物搅拌24小时。
冷却至常温后,过滤出生成的固体,用50ml正己烷洗涤固体两次,然后减压干燥,以得到40g由下式表示的硅烷化合物4:
Figure BDA0002069934570000182
1H-NMR(CDCl3)3.73(t,J=5.5Hz,12H),2.73(t,J=5.5Hz,12H),1.35~1.25(m,8H),0.42(m,4H)
合成例5
硅烷化合物5
将搅拌棒置于250ml圆底烧瓶中,在其中安装Dean-Stark分离器,并向其中加入38g N,N'-双[(三甲氧基甲硅烷基)丙基]脲和30g三乙醇胺。
加入100ml甲苯,将温度升至90℃以除去甲醇,并将反应物搅拌24小时。
冷却至常温后,过滤出生成的固体,用50ml正己烷洗涤固体两次,然后减压干燥,以得到43g由下式表示的硅烷化合物5:
Figure BDA0002069934570000191
1H-NMR(CDCl3)6.0(br,2H),3.73(t,J=5.5Hz,12H),3.38(t,J=7.0Hz,4H),2.73(t,J=5.5Hz,12H)1.55~1.35(m,4H),0.45(m,4H)
合成例6
硅烷化合物6
将搅拌棒置于250ml圆底烧瓶中,并向其中加入15g 2,2'-(亚乙二氧基)双(乙胺)和100ml二氯甲烷。
使用冰浴冷却反应物,并在1小时内向其中缓慢加入44g 3-(三乙氧基甲硅烷基)丙基异氰酸酯。
添加完成后,将温度升至常温,将产物进一步搅拌1小时,并在减压下干燥,以得到39g由下式表示的硅烷化合物6:
Figure BDA0002069934570000192
1H-NMR(CDCl3)5.8(br,2H),5.0(br,2H),3.85~3.70(m,16H),3.54(s,4H),3.38(t,J=7.0Hz,4H),3.24(t,J=7.0Hz,4H),1.65~1.58(m,4H),1.21(t,J=7.0Hz,18H),0.60~0.52(m,4H)
合成例7
硅烷化合物7
将搅拌棒置于250ml圆底烧瓶中,在其中安装回流冷凝器,并向其中加入14g二烯丙基砜、100ml甲苯和0.5ml铂(0)-1,3-二乙烯基-1,1,3,3-四甲基二硅氧烷络合物溶液(Pt~2%)。
加入37g三甲氧基硅烷并将温度升至50℃。
搅拌24小时后,使用旋转浓缩器浓缩反应物。
使用硅胶和四氢呋喃过滤所得产物,并在减压下干燥,以得到28g由下式表示的硅烷化合物7:
Figure BDA0002069934570000201
1H-NMR(CDCl3)3.60(s,18H),3.41(t,J=7.0Hz,4H),1.97~1.89(m,4H),0.62~0.58(m,4H)
合成例8
硅烷化合物8
将搅拌棒置于250ml圆底烧瓶中,在其中安装回流冷凝器,并向其中加入17g三烯丙基氧化膦、100ml甲苯和0.5ml铂(0)-1,3-二乙烯基-1,1,3,3-四甲基二硅氧烷络合物溶液(Pt~2%)。
加入50g三甲氧基硅烷并将温度升至50℃。
搅拌24小时后,使用旋转浓缩器浓缩反应物。
使用硅胶和四氢呋喃过滤所得产物,并在减压下干燥,以得到40g由下式表示的硅烷化合物8:
Figure BDA0002069934570000202
1H-NMR(CDCl3)3.57(s,27H),1.77~1.65(m,6H),1.47~1.40(m,6H),.0.58(t,J=7.1Hz,6H)
实施例1-8和比较例1
将合成例1-8中获得的硅烷化合物1-8中的每一种加入到85%磷酸中并与其混合,使得含量为100重量%,如表1所示,从而制备蚀刻剂溶液(实施例1-8)。
作为比较例,将0.5重量%3-氨基丙基硅烷三醇(比较硅烷化合物1)加入到99.5重量%的85%磷酸中并与其混合,从而制备蚀刻剂溶液(比较例1),如表1所示。
制备基板,其中在半导体晶片上形成以500埃
Figure BDA0002069934570000211
的厚度沉积的氮化硅(SiN)膜和以
Figure BDA0002069934570000212
的厚度沉积的氧化硅(SiOx)膜。
将包含每种硅烷化合物的实施例1-8和比较例1的蚀刻剂溶液添加至圆形烧瓶中,并且当达到156℃或163℃的温度时,蚀刻氮化硅膜和氧化硅膜。
蚀刻速度是在蚀刻氮化硅膜720秒和蚀刻氧化硅膜6000秒后,通过用蚀刻处理每个膜之前的膜厚度与蚀刻处理每个膜之后的膜厚度之间的差值除以蚀刻时间(分钟)而计算出的值,并且使用椭偏仪(NANOVIEW,SEMG-1000)测量薄膜厚度。
选择比表示氮化物膜的蚀刻速度与氧化物膜的蚀刻速度的比。
实施例9
将合成例3中获得的硅烷化合物3加入到85%磷酸中并与其混合,使得含量为100重量%,如表1所示,从而制备蚀刻剂溶液(实施例9)。
使用实施例9的蚀刻剂溶液以与实施例1相同的方式在与实施例1相同的基板上蚀刻氮化硅膜和氧化硅膜。
以与实施例1中相同的方式测量选择比,结果示于表1中。
[表1]
Figure BDA0002069934570000213
Figure BDA0002069934570000221
如上表1中所示,在实施例1-9中,使用具有两个或更多个硅烷基团的多硅烷化合物作为蚀刻剂溶液的添加剂,由此几乎不蚀刻氧化硅膜并且选择性地蚀刻氮化硅膜以产生高选择比。然而,比较例1的甲硅烷化合物添加剂具有高的氧化硅膜蚀刻速度,从而具有较低的选择比。
通过包括与氧化物膜的表面反应以形成能够保护氧化物膜的保护膜的硅烷化合物,本发明的蚀刻剂组合物具有高的相对于氧化物膜对氮化物膜的蚀刻选择比。
此外,使用本发明的蚀刻剂组合物防止了在去除氮化物膜时氧化物膜的膜质量的损坏或由于氧化物膜的蚀刻导致的电性质的劣化,从而改善了器件特性。
特别地,本发明使用包含2个或多个硅烷基团的硅烷化合物,从而即使少量添加也可获得优异的选择比。
虽然以上已经示出和描述了示例性实施方案,但是对于本领域技术人员来说显而易见的是,在不脱离由所附权利要求限定的本发明的范围的情况下,可以进行修改和变化。

Claims (16)

1.一种蚀刻剂组合物,其包括:磷酸和由以下化学式1表示的硅烷化合物:
[化学式1]
Figure FDA0003668151350000011
其中,
A为C1-C20亚烷基,
L为C1-C3亚烷基,
R1-R3独立地为C1-C20烷氧基或R1-R3为通过氮彼此连接的C1-C20烷氧基,
n为2,
其中相对于总体蚀刻剂组合物,所述硅烷化合物的含量为0.001-1重量%。
2.根据权利要求1所述的蚀刻剂组合物,其中,所述C1-C20亚烷基为(CH2)2或(CH2)6
3.一种蚀刻剂组合物,其包括:磷酸和由以下化学式1表示的硅烷化合物:
[化学式1]
Figure FDA0003668151350000012
其中,
A为*-NR14-*、*-NR15CSNR16-*、*-NR17CONR18-*、*-NR19L1NR20-*、*-NR21CONR22L2NR23CONR24-*、*-NR25CONL3L4NCONR26-*、
Figure FDA0003668151350000021
其中,R14-R27独立地为氢或C1-C20烷基,
L1-L5为C1-C20亚烷基或R41(OR42)p,其中,R41和R42独立地为C1-C20亚烷基,p为1-5的整数,
L6为直接键或(CH2)qNR43NR44,其中,R43和R44独立地为氢或C1-C20烷基,q为1-5的整数,
L为C1-C3亚烷基,
R1-R3各自独立地为C1-C20烷氧基或R1-R3为通过氮彼此连接的C1-C20烷氧基,
n为2-4的整数,
其中相对于总体蚀刻剂组合物,所述硅烷化合物的含量为0.001-1重量%。
4.一种蚀刻剂组合物,其包括:磷酸和由以下化学式1表示的硅烷化合物:
[化学式1]
Figure FDA0003668151350000022
其中,
A为*-O-*,
L为C1-C3亚烷基,
R1-R3各自独立地为C1-C20烷氧基或R1-R3为通过氮彼此连接的C1-C20烷氧基,
n为2,
其中相对于总体蚀刻剂组合物,所述硅烷化合物的含量为0.001-1重量%。
5.一种蚀刻剂组合物,其包括:磷酸和由以下化学式1表示的硅烷化合物:
[化学式1]
Figure FDA0003668151350000031
其中,
A为*-S-*、
Figure FDA0003668151350000032
L为C1-C3亚烷基,
R1-R3各自独立地为C1-C20烷氧基或R1-R3为通过氮彼此连接的C1-C20烷氧基,
n为2,
其中相对于总体蚀刻剂组合物,所述硅烷化合物的含量为0.001-1重量%。
6.一种蚀刻剂组合物,其包括:磷酸和由以下化学式1表示的硅烷化合物:
[化学式1]
Figure FDA0003668151350000033
其中,
A为
Figure FDA0003668151350000034
其中,R28和R29独立地为氢、C1-C20烷基、C1-C20烷氧基或C1-C20烷基C1-C20烷氧基;
L为C1-C3亚烷基;
R1-R3各自独立地为C1-C20烷氧基或R1-R3为通过氮彼此连接的C1-C20烷氧基,
n为2-3的整数,
其中相对于总体蚀刻剂组合物,所述硅烷化合物的含量为0.001-1重量%。
7.一种蚀刻剂组合物,其包括:磷酸和由以下化学式1表示的硅烷化合物:
[化学式1]
Figure FDA0003668151350000042
其中,
A为*-N+(R11R12)X3 --*或
Figure FDA0003668151350000043
其中,R11和R12独立地为氢或C1-C20烷基,X1-X3各自独立地为卤素或C1-C20烷基碳酸盐基团,
L为C1-C3亚烷基,
R1-R3各自独立地为C1-C20烷氧基或R1-R3为通过氮彼此连接的C1-C20烷氧基,
n为2,
其中相对于总体蚀刻剂组合物,所述硅烷化合物的含量为0.001-1重量%。
8.根据权利要求1至7中任一项所述的蚀刻剂组合物,其中所述硅烷化合物为选自以下结构式1-19、22-25、28-31、34-36和38中的至少一种:
Figure FDA0003668151350000041
Figure FDA0003668151350000051
Figure FDA0003668151350000061
Figure FDA0003668151350000071
其中R为氢或C1-C20烷基。
9.一种蚀刻剂组合物,其包括:磷酸和由以下结构式表示的硅烷化合物:
Figure FDA0003668151350000072
其中相对于总体蚀刻剂组合物,所述硅烷化合物的含量为0.001-1重量%。
10.根据权利要求1至7中任一项所述的蚀刻剂组合物,其进一步包括由以下化学式2表示的硅烷化合物:
[化学式2]
Figure FDA0003668151350000073
其中R71-R74独立地为氢、烃基或杂烃基,
其中,由化学式2表示的硅烷化合物的含量为0.005-1重量%。
11.根据权利要求1至7中任一项所述的蚀刻剂组合物,其进一步包括铵盐。
12.根据权利要求1至7中任一项所述的蚀刻剂组合物,其进一步包含用于改善蚀刻性能的添加剂。
13.根据权利要求12所述的蚀刻剂组合物,其中所述添加剂为表面活性剂、金属离子螯合剂和/或腐蚀抑制剂。
14.由以下化学式1表示的硅烷化合物在蚀刻剂组合物中的用途,所述蚀刻剂组合物用于在半导体制造工艺中相对于氧化物膜选择性地蚀刻氮化物膜,
[化学式1]
Figure FDA0003668151350000081
其中,
R1-R3独立地为C1-C20烷氧基或R1-R3为通过氮彼此连接的C1-C20烷氧基,
L为C1-C3亚烷基,
n为2-4的整数,
A为*-N+R11R12X3 --*、
Figure FDA0003668151350000082
*-NR19L1NR20-*、*-NR21CONR22L2NR23CONR24-*、
Figure FDA0003668151350000083
Figure FDA0003668151350000084
其中R11、R12、R19-R24和R27独立地为氢或C1-C20烷基,
X1-X3独立地为卤素或C1-C20烷基碳酸盐基团,
L1和L5为C1-C20亚烷基,
L2为C1-C20亚烷基或R41(OR42)p,其中R41和R42为C1-C20亚烷基,p为1-5的整数,
L6为直接键或(CH2)qNR43CONR44,其中R43和R44独立地为氢或C1-C20烷基,q为1-5的整数。
15.根据权利要求14所述的用途,其中由化学式1表示的硅烷化合物选自由以下结构式表示的化合物:
Figure FDA0003668151350000091
16.由以下结构式表示的硅烷化合物在蚀刻剂组合物中的用途,所述蚀刻剂组合物用于在半导体制造工艺中相对于氧化物膜选择性地蚀刻氮化物膜,
Figure FDA0003668151350000092
CN201910433994.9A 2018-05-26 2019-05-23 蚀刻剂组合物和硅烷化合物 Active CN110527512B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020180060000A KR102005963B1 (ko) 2018-05-26 2018-05-26 식각액 조성물 및 실란화합물
KR10-2018-0060000 2018-05-26

Publications (2)

Publication Number Publication Date
CN110527512A CN110527512A (zh) 2019-12-03
CN110527512B true CN110527512B (zh) 2022-09-13

Family

ID=67473830

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910433994.9A Active CN110527512B (zh) 2018-05-26 2019-05-23 蚀刻剂组合物和硅烷化合物

Country Status (5)

Country Link
US (1) US10781371B2 (zh)
JP (2) JP7365139B2 (zh)
KR (1) KR102005963B1 (zh)
CN (1) CN110527512B (zh)
TW (1) TWI784168B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102557642B1 (ko) * 2018-10-25 2023-07-20 에스케이이노베이션 주식회사 식각 조성물 첨가제, 그 제조방법 및 이를 포함하는 식각 조성물
KR102633743B1 (ko) * 2018-10-26 2024-02-05 에스케이이노베이션 주식회사 식각액 조성물, 절연막의 식각방법 및 반도체 소자의 제조방법
KR20200122521A (ko) * 2019-04-18 2020-10-28 에스케이이노베이션 주식회사 신규한 규소 화합물
KR20200137410A (ko) * 2019-05-30 2020-12-09 에스케이이노베이션 주식회사 식각 조성물, 이를 이용한 절연막 식각 방법 및 반도체 소자의 제조방법, 그리고 신규 화합물
KR102525287B1 (ko) * 2019-10-18 2023-04-24 삼성에스디아이 주식회사 구리 막 연마용 cmp 슬러리 조성물 및 이를 이용한 구리 막 연마 방법
KR102345842B1 (ko) * 2020-09-21 2021-12-31 주식회사 이엔에프테크놀로지 실리콘 질화막 식각 조성물 및 이를 이용한 방법
JPWO2022092212A1 (zh) * 2020-10-30 2022-05-05
CN115746044A (zh) * 2022-09-27 2023-03-07 大连理工大学 一类含有链中柔性链段和脲键的硅烷偶联剂及其制备方法及其制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106661430A (zh) * 2014-06-25 2017-05-10 嘉柏微电子材料股份公司 钨化学机械抛光组合物
CN107345137A (zh) * 2016-05-04 2017-11-14 Oci有限公司 能够抑制颗粒出现的蚀刻溶液
CN109837089A (zh) * 2017-11-24 2019-06-04 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用其形成图案的方法
CN109841511A (zh) * 2017-11-24 2019-06-04 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用其形成图案的方法
CN110157434A (zh) * 2018-02-13 2019-08-23 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用该绝缘层蚀刻剂组合物形成图案的方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57202719A (en) * 1981-06-05 1982-12-11 Matsushita Electric Ind Co Ltd Condenser
JPS62283982A (ja) * 1986-05-29 1987-12-09 Sunstar Giken Kk ビス〔(アルコキシシリル)アルキル〕ジスルフイドの製法
DE102006004062A1 (de) 2006-01-28 2007-08-09 Degussa Gmbh Kautschukmischungen
US8778210B2 (en) * 2006-12-21 2014-07-15 Advanced Technology Materials, Inc. Compositions and methods for the selective removal of silicon nitride
JP5646996B2 (ja) 2007-09-21 2014-12-24 キャボット マイクロエレクトロニクス コーポレイション 研磨組成物およびアミノシランを用いて処理された研削剤粒子の使用方法
KR101243331B1 (ko) 2010-12-17 2013-03-13 솔브레인 주식회사 화학 기계적 연마 슬러리 조성물 및 이를 이용하는 반도체 소자의 제조 방법
KR101782329B1 (ko) * 2011-10-18 2017-09-28 삼성전자주식회사 식각용 조성물 및 이를 이용하는 반도체 기억 소자의 형성 방법
US9368647B2 (en) * 2011-10-18 2016-06-14 Samsung Electronics Co., Ltd. Compositions for etching
KR101320416B1 (ko) * 2011-12-29 2013-10-22 솔브레인 주식회사 식각액 조성물 및 이를 이용한 습식 식각방법
US8778212B2 (en) 2012-05-22 2014-07-15 Cabot Microelectronics Corporation CMP composition containing zirconia particles and method of use
JP2014099480A (ja) 2012-11-13 2014-05-29 Fujifilm Corp 半導体基板のエッチング方法及び半導体素子の製造方法
KR20160010267A (ko) * 2014-07-17 2016-01-27 솔브레인 주식회사 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
JP6580397B2 (ja) * 2014-07-17 2019-09-25 ソウルブレイン シーオー., エルティーディー. エッチング用組成物及びこれを用いた半導体素子の製造方法
WO2017095022A1 (ko) 2015-12-04 2017-06-08 솔브레인 주식회사 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
KR102545802B1 (ko) * 2015-12-04 2023-06-21 솔브레인 주식회사 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
KR102534841B1 (ko) * 2016-12-26 2023-05-19 솔브레인 주식회사 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
CN109689838A (zh) * 2016-12-26 2019-04-26 秀博瑞殷株式公社 蚀刻用组合物和使用该蚀刻用组合物制造半导体器件的方法
KR101828437B1 (ko) 2017-04-06 2018-03-29 주식회사 디엔에스 실리콘 질화막 식각용 조성물.

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106661430A (zh) * 2014-06-25 2017-05-10 嘉柏微电子材料股份公司 钨化学机械抛光组合物
CN107345137A (zh) * 2016-05-04 2017-11-14 Oci有限公司 能够抑制颗粒出现的蚀刻溶液
CN109837089A (zh) * 2017-11-24 2019-06-04 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用其形成图案的方法
CN109841511A (zh) * 2017-11-24 2019-06-04 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用其形成图案的方法
CN110157434A (zh) * 2018-02-13 2019-08-23 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用该绝缘层蚀刻剂组合物形成图案的方法

Also Published As

Publication number Publication date
US10781371B2 (en) 2020-09-22
US20190359886A1 (en) 2019-11-28
TW202003801A (zh) 2020-01-16
JP2019204953A (ja) 2019-11-28
JP2023162183A (ja) 2023-11-08
TWI784168B (zh) 2022-11-21
CN110527512A (zh) 2019-12-03
JP7365139B2 (ja) 2023-10-19
KR102005963B1 (ko) 2019-07-31

Similar Documents

Publication Publication Date Title
CN110527512B (zh) 蚀刻剂组合物和硅烷化合物
JP7365140B2 (ja) エッチング液組成物、絶縁膜のエッチング方法、半導体素子の製造方法及びシラン化合物
CN111100640B (zh) 用于蚀刻组合物的添加剂、其制备方法和包含其的蚀刻组合物
JP7379060B2 (ja) エッチング液組成物、絶縁膜のエッチング方法及び半導体素子の製造方法
CN112011341B (zh) 刻蚀用组合物、刻蚀绝缘体的方法和制造半导体器件的方法以及新型化合物
KR102576575B1 (ko) 식각 조성물, 이를 이용한 절연막의 식각방법 및 반도체 소자의 제조방법
KR20200057288A (ko) 식각액 조성물, 절연막의 식각방법 및 반도체 소자의 제조방법
KR102576576B1 (ko) 식각 조성물, 이를 이용한 절연막의 식각방법 및 반도체 소자의 제조방법
KR102576574B1 (ko) 식각 조성물, 이를 이용한 절연막의 식각방법 및 반도체 소자의 제조방법
TWI837418B (zh) 蝕刻組合物、使用彼蝕刻半導體元件之絕緣膜的方法、以及製備半導體元件的方法
TW202111093A (zh) 蝕刻組合物、使用彼蝕刻半導體元件之絕緣膜的方法、以及製備半導體元件的方法
CN112442373A (zh) 蚀刻组合物、使用蚀刻组合物蚀刻半导体器件的绝缘膜的方法以及制备半导体器件的方法
KR20200021826A (ko) 실리콘 질화막 식각 조성물

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20220511

Address after: 26 Chung Road, Chung Road District, Seoul, Korea

Applicant after: SK INNOVATION Co.,Ltd.

Applicant after: SK Corp.

Address before: Seoul, South Kerean

Applicant before: SK INNOVATION Co.,Ltd.

Applicant before: SK-Materials Co.,Ltd.

GR01 Patent grant
GR01 Patent grant