TWI784168B - 蝕刻劑組合物及矽烷化合物 - Google Patents

蝕刻劑組合物及矽烷化合物 Download PDF

Info

Publication number
TWI784168B
TWI784168B TW108118094A TW108118094A TWI784168B TW I784168 B TWI784168 B TW I784168B TW 108118094 A TW108118094 A TW 108118094A TW 108118094 A TW108118094 A TW 108118094A TW I784168 B TWI784168 B TW I784168B
Authority
TW
Taiwan
Prior art keywords
group
etchant composition
silane compound
alkyl
alkylene
Prior art date
Application number
TW108118094A
Other languages
English (en)
Other versions
TW202003801A (zh
Inventor
金喆禹
李帝豪
咸珍守
郭宰熏
李宗昊
Original Assignee
南韓商Sk新技術股份有限公司
南韓商Sk股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商Sk新技術股份有限公司, 南韓商Sk股份有限公司 filed Critical 南韓商Sk新技術股份有限公司
Publication of TW202003801A publication Critical patent/TW202003801A/zh
Application granted granted Critical
Publication of TWI784168B publication Critical patent/TWI784168B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0834Compounds having one or more O-Si linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/02Phosphorus compounds
    • C07F9/28Phosphorus compounds with one or more P—C bonds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/02Phosphorus compounds
    • C07F9/28Phosphorus compounds with one or more P—C bonds
    • C07F9/50Organo-phosphines
    • C07F9/5004Acyclic saturated phosphines
    • C07F9/5009Acyclic saturated phosphines substituted by B, Si, P or a metal
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/02Phosphorus compounds
    • C07F9/28Phosphorus compounds with one or more P—C bonds
    • C07F9/50Organo-phosphines
    • C07F9/5022Aromatic phosphines (P-C aromatic linkage)
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/02Phosphorus compounds
    • C07F9/28Phosphorus compounds with one or more P—C bonds
    • C07F9/50Organo-phosphines
    • C07F9/53Organo-phosphine oxides; Organo-phosphine thioxides
    • C07F9/5325Aromatic phosphine oxides or thioxides (P-C aromatic linkage)
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/02Phosphorus compounds
    • C07F9/547Heterocyclic compounds, e.g. containing phosphorus as a ring hetero atom
    • C07F9/6561Heterocyclic compounds, e.g. containing phosphorus as a ring hetero atom containing systems of two or more relevant hetero rings condensed among themselves or condensed with a common carbocyclic ring or ring system, with or without other non-condensed hetero rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/02Phosphorus compounds
    • C07F9/547Heterocyclic compounds, e.g. containing phosphorus as a ring hetero atom
    • C07F9/6596Heterocyclic compounds, e.g. containing phosphorus as a ring hetero atom having atoms other than oxygen, sulfur, selenium, tellurium, nitrogen or phosphorus as ring hetero atoms
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0405Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising semiconducting carbon, e.g. diamond, diamond-like carbon
    • H01L21/042Changing their shape, e.g. forming recesses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Weting (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

一種蝕刻劑組合物,包含:磷酸以及如下化學式1所示之矽烷化合物:
Figure 108118094-A0101-11-0001-1
其中,A為n價基團,L為C1-C5伸烴基,R1至R3係獨立為氫、羥基、烴基、或烷氧基,其中R1至R3係各自存在或藉由雜元素而彼此連接,以及n為2至5之整數。

Description

蝕刻劑組合物及矽烷化合物 【相關申請案之交叉參照】
本申請案主張於2018年5月26日在韓國智慧財產局提出申請之韓國專利申請案第10-2018-0060000號之優先權,該申請案之揭示內容整體併於此以供參考。
本揭露係關於一種蝕刻劑組合物,更具體而言係關於一種具有高選擇比的蝕刻劑組合物,其可選擇性地去除氮化物膜而同時使氧化物膜的蝕刻率最小化。另外,本揭露係關於一種適合用作為蝕刻劑組合物之添加劑的矽烷化合物。
氧化物膜如氧化矽(SiO2)膜及氮化物膜如氮化矽(SiNx)膜係代表性的絕緣膜,且在半導體製造製程中,氧化矽膜或氮化矽膜係單獨使用或以積層板之形式使用,其中在該積層板中一或多個膜係交互地堆疊。另外,氧化物膜或氮化物膜亦用作為硬遮罩用於形成導電圖案,如金屬佈線。
在用於去除氮化物膜的濕式蝕刻製程中,通常係使用磷酸及去離子水的混合物。去離子水係添加以防止蝕刻率的降低以及防止對氧化 物膜之蝕刻選擇性的變化;然而,存在如下問題:即使所供應之去離子水的含量僅微小變化,在氮化物膜蝕刻去除製程中亦發生缺陷。另外,磷酸為強酸且為腐蝕性,因此處理上具有難度。
為了解決該問題,傳統上已知一種用於去除氮化物膜的技術,係使用一蝕刻劑組合物,其在磷酸(H3PO4)中包含氟酸(HF)、硝酸(HNO3)等,但此會造成抑制氮化物膜及氧化物膜之蝕刻選擇比的結果。另外,還已知一種技術,係使用包含磷酸及矽酸鹽或矽酸的蝕刻劑組合物;然而,矽酸或矽酸鹽具有如下問題:生成可能影響基板的粒子,因此相當不適合用於半導體製造製程中。
另一方面,當在用於去除氮化物膜的濕式蝕刻製程中使用磷酸時,由於氮化物膜及氧化物膜之間的蝕刻選擇比降低,不僅是氮化物膜,SOD氧化物膜亦會被蝕刻,因此難以調整有效場氧化物高度(effective field oxide height,EFH)。因此,可能無法確保用於去除氮化物膜之足夠的濕式蝕刻時間,或者需要額外的製程,此會造成改變且對元件特性具有不良的影響。
因此,目前需要一種具有高選擇比的蝕刻劑組合物,其相對於氧化物膜選擇性地蝕刻氮化物膜,且不具有例如在半導體製造製程中出現粒子的問題。
本揭露之一方案可提供一種具有高選擇比的蝕刻劑組合物,其可選擇性地去除氮化物膜而同時使氧化物膜的蝕刻率最小化,且不 具有例如出現對元件特性具有不良影響的粒子的問題;以及提供一種用於蝕刻劑組合物中的矽烷化合物。
根據本揭露之一方案,蝕刻劑組合物可包含磷酸以及如下化學式1所示之矽烷化合物
Figure 108118094-A0101-12-0003-5
其中,A為n價基團,L為C1-C5伸烴基,R1至R3係獨立為氫、羥基、烴基、或烷氧基,其中R1至R3係各自存在或藉由雜元素而彼此連接,以及n為2至5之整數。
根據一例示性實施態樣,提供蝕刻劑組合物,其中R1至R3係獨立為C1-C20烷氧基,或者R1至R3為藉由氮而彼此連接的C1-C20烷氧基。
根據一例示性實施態樣,提供蝕刻劑組合物,其中A為C1-C20伸烴基、具有N作為鍵結位的基團、具有O作為鍵結位的基團、具有S作為鍵結位的基團、具有P作為鍵結位的基團、或胺鹽基團。
根據一例示性實施態樣,提供蝕刻劑組合物,其中C1-C20伸烴基為C1-C20伸烷基或C6-C20伸芳基。
於一例示性實施態樣中,提供蝕刻劑組合物,其中該具有N作為鍵結位的基團為*-NR14-*、*-NR15CSNR16-*、*-NR17CONR18-*、*-NR19L1NR20-*、*-NR21CONR22L2NR23CONR24-*、 *-NR25CONL3L4NCONR26-*、
Figure 108118094-A0101-12-0003-6
、或
Figure 108118094-A0101-12-0003-7
,其中R14 至R27係獨立為氫、C1-C20烷基、或C6-C20芳基,L1至L5為C1-C20伸烷基、C6-C20伸芳基、或R41(OR42)p,其中R41及R42係獨立為C1-C20伸烷基,且p為1至5之整數,L6為一直接鍵或(CH2)qNR43NR44,其中R43及R44係獨立為氫、C1-C20烷基、或C6-C20芳基、且q為1至5之整數。
於一例示性實施態樣中,提供蝕刻劑組合物,其中該具有O作為鍵結位的基團為*-O-*。
於一例示性實施態樣中,提供蝕刻劑組合物,其中該具有S 作為鍵結位的基團為*-S-*、*-S-S-*、
Figure 108118094-A0101-12-0004-9
、或
Figure 108118094-A0101-12-0004-8
於一例示性實施態樣中,提供蝕刻劑組合物,其中該具有P 作為鍵結位的基團為
Figure 108118094-A0101-12-0004-13
Figure 108118094-A0101-12-0004-12
Figure 108118094-A0101-12-0004-10
、或
Figure 108118094-A0101-12-0004-11
,其中R28及R29 係獨立為氫、C1-C20烷基、C6-C20芳基、(C1-C20)烷氧基、或(C1-C20)烷基(C1-C20)烷氧基。
於一例示性實施態樣中,提供蝕刻劑組合物,其中該胺鹽基 團為*-N+(R11R12)X3 --*或
Figure 108118094-A0101-12-0004-14
,其中R11及R12係獨立為氫、C1-C20 烷基、或C6-C20芳基,以及X1至X3係各自獨立為鹵素或C1-C20烷基碳酸鹽基團(C1-C20 alkylcarbonate group)。
於一例示性實施態樣中,提供蝕刻劑組合物,其中n為2。
於一例示性實施態樣中,提供蝕刻劑組合物,其中該矽烷化合物係選自以下結構式1至38之至少一者:
Figure 108118094-A0101-12-0005-15
Figure 108118094-A0101-12-0006-16
Figure 108118094-A0101-12-0007-17
其中R為氫、C1-C20烷基、或C6-C20芳基。
於一例示性實施態樣中,提供蝕刻劑組合物,其中相對於該蝕刻劑組合物整體,該矽烷化合物之含量係0.001至1重量%。
於一例示性實施態樣中,提供蝕刻劑組合物,其更包含如下化學式2所示之矽烷化合物:
Figure 108118094-A0101-12-0007-18
其中R71至R74係獨立為氫、烴基、或雜烴基。
於一例示性實施態樣中,提供更包含銨鹽的蝕刻劑組合物。
根據本揭露之另一方案,提供一種如下化學式1所示之矽烷化合物作為蝕刻劑組合物的添加劑:
Figure 108118094-A0101-12-0008-25
其中,R1至R3係獨立為氫、羥基、烴基、或烷氧基,其中R1至R3係各自存在或藉由雜元素而彼此連接,L為C1-C5伸烴基,n為2至4 之整數,以及A為*-N+R11R12X3 --*、
Figure 108118094-A0101-12-0008-19
、*-NR19L1NR20-*、 *-NR21CONR22L2NR23CONR24-*、
Figure 108118094-A0101-12-0008-23
Figure 108118094-A0101-12-0008-20
Figure 108118094-A0101-12-0008-21
、或
Figure 108118094-A0101-12-0008-24
,其中R11、R12、R19至R24、及R27係獨立為氫、C1-C20烷基、或C6-C20 芳基,X1至X3係獨立為鹵素或C1-C20烷基碳酸鹽基團,L1及L5為C1-C20伸烷基或C6-C20伸芳基,L2為C1-C20伸烷基、C6-C20伸芳基、或R41(OR42)p,其中R41及R42係獨立為C1-C20伸烷基,且p為1至5之整數,以及L6為一直接鍵或(CH2)qNR43CONR44,其中R43及R44係獨立為氫、C1-C20烷基、或C6-C20芳基、且q為1至5之整數。
於另一例示性實施態樣中,提供由化學式1所示之該矽烷化合物,其係選自如下結構式所示之化合物:
Figure 108118094-A0101-12-0009-26
10‧‧‧基板
11‧‧‧穿隧氧化物膜
12‧‧‧多晶矽膜
13‧‧‧緩衝氧化物膜
14‧‧‧襯墊氮化物膜
15‧‧‧SOD氧化物膜
15A‧‧‧元件分離膜
上述及其他方案、特徵、及本揭露之其他優點將藉由以下詳細描述結合所附圖式而更能清楚理解,其中:第1圖及第2圖為製程截面圖,其顯示快閃記憶體元件(flash memory device)的元件分離製程。
以下,將參照所附圖式詳細描述本揭露之例示性實施態樣。
由於本揭露可經各種修改且具有多個例示性實施態樣,特定的例示性實施態樣將在實施態樣中示出並在實施方式所提供之詳細描述中詳細說明。然而,應理解本揭露並不限於特定例示性實施態樣,而是在不背離本揭露之範圍及精神下包括所有修改物、等同物、及替代物。
於本揭露使用的術語僅係用於描述特定實施態樣而非限制本揭露。除非另外於內文中指示,單數形式係旨在包括複數形式。將進一步理解本說明書中所用之術語「包含」或「具有」係指明存在有所述特徵、步驟、操作、成分、部分、或其組合,但不排除存在或額外有一或更多其他特徵、數目、步驟、操作、成分、部分、或其組合。
根據本揭露之一實施態樣的蝕刻劑組合物係包含磷酸及矽烷化合物。
磷酸可與氮化矽反應而蝕刻氮化物。氮化矽與磷酸可如下式所示反應而被蝕刻:3Si3N4+27H2O+4H3PO4 → 4(NH4)3PO4+9SiO2H2O (1)
磷酸可例如為含有80%濃度之磷酸的磷酸水溶液,但並不限於此。用於磷酸水溶液的水並無特別限制,但較佳為去離子水。
矽烷化合物可由以下化學式1所表示:
Figure 108118094-A0101-12-0010-27
於化學式1中,R1至R3可獨立為氫、羥基、烴基、或烷氧基,其中R1至R3可各自存在或藉由雜元素而彼此連接,更具體而言,R1至R3可獨立為C1-C20烷氧基或藉由氮作為雜原子而彼此連接的C1-C20烷氧基。
L可為一直接鍵或C1-C3伸烴基,更具體而言可為C1-C3伸烷基。
另外,n可為2至4之整數。
A代表n價基團。例如,A可為伸烴基、具有N作為鍵結位的基團、具有O作為鍵結位的基團、具有S作為鍵結位的基團、具有P作為鍵結位的基團、或胺鹽基團等。
伸烴基可為C1-C20伸烷基或C6-C20伸芳基,更具體而言,例如(CH2)2、(CH2)6、伸苯基等。在此情況下,n為整數2。
當A為具有N作為鍵結位的基團時,A可為例如*-NR14-*,如*-NH-*;*-NR15CSNR16-*,如*-NHCSNH-*;*-NR17CONR18-*,如*-NHCONH-*;*-NR19L1NR20-*,如*-NH(CH2)3NH-*或*-NCH3(CH2)3NCH3-*;*-NR21CONR22L2NR23CONR24-*,如*-NHCONH(CH2)2NHCONH-*、*-NHCONH(C6H4)NHCONH-*、或*-NHCONH(CH2)2O(CH2)2O(CH2)2NHCONH-*;*-NR25CONL3L4NCONR26-*,如*-NHCON(CH2)2(CH2)2NCONH-*等, 此係n為整數2的情況下。另外,A可為
Figure 108118094-A0101-12-0011-28
,此係n為整數3的情況 下。另外,A可為
Figure 108118094-A0101-12-0011-29
,此係n為整數4的情況下。
此處,R14至R27係獨立為氫、C1-C20烷基、或C6-C20芳基,L1至L5為C1-C20伸烷基、C6-C20伸芳基、或R41(OR42)p,其中R41及R42係獨立為C1-C20伸烷基,且p為1至5之整數,L6為一直接鍵或(CH2)qNR43NR44,其中R43及R44係獨立為氫、C1-C20烷基、或C6-C20芳基、且q為1至5之整數。
具有O作為鍵結位的基團可為例如*-O-*,且在此情況下,n為整數2。
具有S作為鍵結位的基團可為例如*-S-*、*-S-S-*、
Figure 108118094-A0101-12-0012-35
、 或
Figure 108118094-A0101-12-0012-36
,且在此情況下,n為整數2。
另一方面,具有P作為鍵結位的基團可為例如
Figure 108118094-A0101-12-0012-34
Figure 108118094-A0101-12-0012-31
Figure 108118094-A0101-12-0012-32
、或
Figure 108118094-A0101-12-0012-33
,且在此情況下,n為2或3之整數,其中R28 及R29可獨立為氫、C1-C20烷基、C6-C20芳基、(C1-C20)烷氧基、或(C1-C20)烷基(C1-C20)烷氧基。
另外,A可為胺鹽基團,具體而言可為*-N+(R11R12)X3 --*或
Figure 108118094-A0101-12-0012-30
,且n為整數2,其中R11及R12可獨立為氫、C1-C20烷基、或 C6-C20芳基,以及X1至X3可獨立為鹵素或C1-C20烷基碳酸鹽基團。
上述由化學式1所示之矽烷化合物可為例如以下所示之矽烷化合物:
Figure 108118094-A0101-12-0013-37
Figure 108118094-A0101-12-0014-38
Figure 108118094-A0101-12-0015-39
其中R為氫、C1-C20烷基、或C6-C20芳基。
上述之矽烷化合物具有二或更多矽烷,藉此與氧化物膜反應而在該氧化物膜之表面上形成保護膜,此會抑制磷酸蝕刻氧化物膜。因此,當藉由磷酸蝕刻去除氮化物膜時,矽烷化合物可適當地用作為蝕刻劑添加劑以改善氮化物膜對氧化物膜的選擇性。
矽烷化合物中所含的氧係鍵結至氧化物膜的表面以保護該氧化物膜,且可經氫鍵結至氧化物膜的表面,從而在蝕刻劑組合物中蝕刻 氮化物期間使氧化物膜之蝕刻最小化。特定而言,本揭露所提供的矽烷化合物具有二或更多矽烷基團,因此對氧化物膜的表面具有高鍵結性質而進一步增加氮化物膜對氧化物膜的蝕刻選擇性。因此,包含本揭露之矽烷化合物的蝕刻劑可改善對氮化物膜的選擇性,同時使氧化物膜的蝕刻率最小化。
另一方面,在上述式(1)中,SiO2H2O可於氧化物膜的表面析出而增加氧化物膜的厚度。此現象稱為異常生長(abnormal growth)。特定而言,當該現象透過在蝕刻劑組合物中氮化物的蝕刻製程累積而進行時,蝕刻劑組合物中的SiO2H2O濃度會增加,而SiO2H2O濃度的增加會導致異常生長的發生率增加。此即,即使在初始蝕刻劑組合物中未發生SiO2H2O之異常生長的情況下,異常生長的發生率仍會隨所累積之製程數目的增加而增加。然而,當包含有根據本揭露之矽烷化合物時,可抑制此類異常生長的發生。
基於蝕刻劑組合物之總重量,本揭露之矽烷化合物可以0.001至1重量%之用量添加。由於本揭露所用之矽烷化合物係如上所述具有二或更多矽烷基團,本揭露所建議之矽烷化合物即使是以少量添加至蝕刻劑組合物情況下,亦可有效地保護氧化矽膜,從而增加氮化物對氧化物膜的蝕刻選擇性。具體而言,當矽烷化合物的使用量小於0.001重量%時,難以獲得氮化物膜對氧化物膜之高選擇性的效果;而當使用量大於1重量%時,矽烷化合物會凝膠化(gelled),此為不佳的。例如,矽烷化合物可使用0.001至0.7重量%、0.002至0.7重量%、0.002至0.5重量%、0.005至0.5重量%等。
本揭露之蝕刻劑組合物可更包含如下化學式2所示之矽烷化合物:
Figure 108118094-A0101-12-0017-40
其中R71至R74係獨立為氫、烴基、或雜烴基。
基於蝕刻劑組合物之總重量,化學式2所示之矽烷化合物之用量可為0.005至1重量%。
另外,可添加銨鹽。銨鹽可防止蝕刻劑組合物膠化,且基於總重量,可以0.001至10重量%之用量添加。當銨鹽以小於0.001重量%添加時,降低膠化之物理性質改善效果不明顯;而當以大於10重量%添加時,銨鹽可為造成膠化之原因。
銨鹽為具有銨離子的化合物,且本揭露所屬技術領域中通常使用的銨鹽亦可適當地用於本揭露中。銨鹽可為例如氨水、氯化銨、乙酸銨、磷酸銨、過氧二硫酸銨、硫酸銨、氟酸銨等,但並不限於此,且該等銨鹽可單獨使用或組合二或更多者使用。
另外,本揭露之蝕刻劑組合物可更包含本領域中通常使用的視需要的添加劑,以進一步改善蝕刻效能。添加劑可包含表面活性劑、金屬離子螯合劑(metal ion sequestrant)、腐蝕抑制劑等。
本揭露之蝕刻劑組合物係用於藉由蝕刻從包含氧化物膜及氮化物膜的半導體元件選擇性地去除氮化物膜,且該氮化物膜可包含氮化矽膜,例如SiN膜、SiON膜等。
另外,氧化物膜可為選自氧化矽膜所組成之群組的至少一者,例如旋塗式介電(spin on dielectric,SOD)膜、高密度電漿(HDP)膜、熱氧化物膜、硼磷矽酸鹽玻璃(BPSG)膜、磷矽酸鹽玻璃(PSG)膜、硼矽酸鹽玻璃(BSG)膜、聚矽氮烷(PSZ)膜、氟化矽酸鹽玻璃(FSG)膜、低壓四乙基正矽酸鹽(low pressure tetraethyl orthosilicate,LPTEOS)膜、電漿增強四乙基正矽酸鹽(PETEOS)膜、高溫氧化物(HTO)膜、中溫氧化物(MTO)膜、未摻雜之矽酸鹽玻璃(USG)膜、旋塗式玻璃(SOG)膜、先進平面化層(advanced planarization layer,APL)膜、原子層沉積(ALD)膜、電漿增強氧化物(Pe-oxide)膜、O3-四乙基正矽酸鹽(O3-TEOS)膜、或其組合。
使用本揭露之蝕刻劑組合物的蝕刻方法可藉由本領域熟知的濕式蝕刻方法進行,例如浸漬法、噴霧法等。
使用本揭露之蝕刻劑組合物的蝕刻方法的實例係圖示於第1圖及第2圖。第1圖及第2圖為製程截面圖,其顯示快閃記憶體元件的元件分離製程作為實例。
首先,如第1圖所示,將穿隧氧化物膜11、多晶矽膜12、緩衝氧化物膜13、及襯墊氮化物膜14依序形成於基板10上,然後將該多晶矽膜12、該緩衝氧化物膜13、及該襯墊氮化物膜14選擇性地蝕刻以形成溝槽。然後,形成SOD氧化物膜15直至該溝槽之空隙被填補,然後於該SOD氧化物膜15上進行CMP製程,使用該襯墊氮化物膜14作為拋光終止膜。
接著,如第2圖所示,使用上述根據本揭露之蝕刻劑組合物藉由濕式蝕刻去除該襯墊氮化物膜14,然後藉由洗滌製程去除該緩衝氧化物膜13。如此,在場區域(field area)中形成元件分離膜15A。
在蝕刻製程期間,製程溫度可為50至300℃之範圍,較佳100至200℃,更佳156至163℃,且可視其他製程及其他因素之需求而改變適合的溫度。
如此,根據包含使用本揭露之蝕刻劑組合物所進行之蝕刻製程的半導體元件製造方法,當氮化物膜及氧化物膜係交互堆疊或混合時,能夠選擇性地蝕刻氮化物膜。另外,可防止在傳統蝕刻製程中會造成問題之粒子的出現,因而確保穩定性及可靠性。
因此,該方法可有效地應用於半導體元件製造製程中要求相對於氧化物膜選擇性地蝕刻氮化物膜的各種製程中。
實施例
以下,本揭露將藉由實施例詳細描述。以下實施例係關於本揭露之實例,但本揭露並不限於此。
合成例1
矽烷化合物1
將攪拌子置於250毫升圓底燒瓶中,向其中裝備回流冷凝器,然後加入9.8公克烯丙基醚、100毫升甲苯、及0.5毫升鉑(0)-1,3-二乙烯基-1,1,3,3-四甲基二矽氧烷錯合物溶液(Pt含量約2%)。
加入37公克三甲氧基矽烷,然後將溫度升至50℃。
攪拌24小時後,使用旋轉濃縮機濃縮該反應物。
使用矽膠及四氫呋喃過濾所得產物,然後在減壓下乾燥,得到30公克矽烷化合物1,其係如下式所表示:
Figure 108118094-A0101-12-0020-42
1H-NMR(CDCl3)3.55(s,18H),2.52(t,J=7.0Hz,4H),1.42(qui,J=9.0Hz,4H),0.58(t,J=7.0Hz,4H)
合成例2
矽烷化合物2
將攪拌子置於250毫升圓底燒瓶中,然後加入34公克雙[(3-三甲氧基矽基)丙基]胺及100毫升二氯甲烷。
使用冰浴冷卻該反應物,然後於1小時期間緩慢添加0.6公克乙酸。
添加完成後,將溫度升至常溫,將產物進一步攪拌1小時,然後在減壓下乾燥,得到40公克矽烷化合物2,其係如下式所表示:
Figure 108118094-A0101-12-0020-43
1H-NMR(CDCl3)3.60(s,18H),3.33(t,J=7.0Hz,4H),2.20(s,3H),2.1(qui,J=9.0Hz,4H),0.60~0.55(m,4H)
合成例3
矽烷化合物3
將攪拌子置於250毫升圓底燒瓶中,向其中裝備回流冷凝器,然後加入20公克1,3-二溴丙烷及100毫升甲苯。
加入52公克3-胺基丙基三異丙基矽烷,將溫度升至110℃,然後攪拌該反應物24小時。
冷卻至常溫後,加入10公克三乙基胺,且當白色固體生成時,以過濾器除去該固體,並將濾液在減壓下乾燥,得到45公克矽烷化合物3,其係如下式所表示:
Figure 108118094-A0101-12-0021-44
1H-NMR(CDCl3)3.57(qui,J=6.8Hz,6H),2.62~2.50(m,8H),2.0(br,2H),1.58(qui,J=7.0Hz,2H),1.50(qui,J=9.0Hz,4H),1.24(d,J=6.8Hz,36H),0.60~0.55(m,4H)
合成例4
矽烷化合物4
將攪拌子置於250毫升圓底燒瓶中,向其中裝備迪安-斯塔克裝置(Dean-Stark trap),然後加入32公克雙(三甲氧基矽基)己烷及30公克三乙醇胺。
加入100毫升甲苯,將溫度升至90℃以去除甲醇,然後攪拌該反應物24小時。
冷卻至常溫後,將所得固體過濾,將該固體以50毫升正己烷洗滌二次,然後在減壓下乾燥,得到40公克矽烷化合物4,其係如下式所表示:
Figure 108118094-A0101-12-0022-45
1H-NMR(CDCl3)3.73(t,J=5.5Hz,12H),2.73(t,J=5.5Hz,12H),1.35~1.25(m,8H),0.42(m,4H)
合成例5
矽烷化合物5
將攪拌子置於250毫升圓底燒瓶中,向其中裝備迪安-斯塔克裝置,然後加入38公克N,N’-雙[(三甲氧基矽基)丙基]尿素及30公克三乙醇胺。
加入100毫升甲苯,將溫度升至90℃以去除甲醇,然後攪拌該反應物24小時。
冷卻至常溫後,將所得固體過濾,將該固體以50毫升正己烷洗滌二次,然後在減壓下乾燥,得到43公克矽烷化合物5,其係如下式所表示:
Figure 108118094-A0101-12-0022-46
1H-NMR(CDCl3)6.0(br,2H),3.73(t,J=5.5Hz,12H),3.38(t,J=7.0Hz,4H),2.73(t,J=5.5Hz,12H)1.55~1.35(m,4H),0.45(m,4H)
合成例6
矽烷化合物6
將攪拌子置於250毫升圓底燒瓶中,然後加入15公克2,2’-(伸乙二氧基)雙(乙基胺)及100毫升二氯甲烷。
使用冰浴冷卻該反應物,然後於1小時期間緩慢添加44公克3-(三乙氧基矽基)丙基異氰酸酯。
添加完成後,將溫度升至常溫,將產物進一步攪拌1小時,然後在減壓下乾燥,得到39公克矽烷化合物6,其係如下式所表示:
Figure 108118094-A0101-12-0023-47
1H-NMR(CDCl3)5.8(br,2H),5.0(br,2H),3.85~3.70(m,16H),3.54(s,4H),3.38(t,J=7.0Hz,4H),3.24(t,J=7.0Hz,4H),1.65~1.58(m,4H),1.21(t,J=7.0Hz,18H),0.60~0.52(m,4H)
合成例7
矽烷化合物7
將攪拌子置於250毫升圓底燒瓶中,向其中裝備回流冷凝器,然後加入14公克二烯丙基碸、100毫升甲苯、及0.5毫升鉑(0)-1,3-二乙烯基-1,1,3,3-四甲基二矽氧烷錯合物溶液(Pt含量約2%)。
加入37公克三甲氧基矽烷,然後將溫度升至50℃。
攪拌24小時後,使用旋轉濃縮機濃縮該反應物。
使用矽膠及四氫呋喃過濾所得產物,然後在減壓下乾燥,得到28公克矽烷化合物7,其係如下式所表示:
Figure 108118094-A0101-12-0023-48
1H-NMR(CDCl3)3.60(s,18H),3.41(t,J=7.0Hz,4H),1.97~1.89(m,4H),0.62~0.58(m,4H)
合成例8
矽烷化合物8
將攪拌子置於250毫升圓底燒瓶中,向其中裝備回流冷凝器,然後加入17公克三烯丙基膦氧化物、100毫升甲苯、及0.5毫升鉑(0)-1,3-二乙烯基-1,1,3,3-四甲基二矽氧烷錯合物溶液(Pt含量約2%)。
加入50公克三甲氧基矽烷,然後將溫度升至50℃。
攪拌24小時後,使用旋轉濃縮機濃縮該反應物。
使用矽膠及四氫呋喃過濾所得產物,然後在減壓下乾燥,得到40公克矽烷化合物8,其係如下式所表示:
Figure 108118094-A0101-12-0024-49
1H-NMR(CDCl3)3.57(s,27H),1.77~1.65(m,6H),1.47~1.40(m,6H),.0.58(t,J=7.1Hz,6H)
實施例1至8及比較例1
將合成例1至8所獲得之各矽烷化合物1至8加至85%磷酸並與其混合使得含量為100重量%,如表1所示,從而製備蝕刻劑溶液(實施例1至8)。
作為比較例,將0.5重量%的3-胺基丙基矽烷三醇(比較矽烷化合物1)加至99.5重量%的85%磷酸並與其混合,從而製備蝕刻劑溶液(比較例1),如表1所示。
在半導體晶圓上形成以500Å之厚度沉積的氮化矽(SiN)膜及以5000Å之厚度沉積的氧化矽(SiOx)膜,從而製得一基板。
將包含各矽烷化合物的實施例1至8及比較例1之蝕刻劑溶液添加至圓底燒瓶,且當溫度達到156℃或163℃時,蝕刻該氮化矽膜及該氧化矽膜。
蝕刻速率為如下計算的值:在蝕刻該氮化矽膜720秒及該氧化矽膜6000秒之後,將處理各個膜之前的膜厚度與藉由蝕刻處理各個膜之後的膜厚度之差值除以蝕刻時間(分鐘),且膜厚度係使用橢圓偏光儀(NANO VIEW,SEMG-1000)量測。
選擇比係代表氮化物膜之蝕刻速率對氧化物膜之蝕刻速率的比。
實施例9
將合成例3所獲得之矽烷化合物3添加至85%磷酸並與其混合使得含量為100重量%,如表1所示,從而製備蝕刻劑溶液(實施例9)。
使用實施例9之蝕刻劑溶液,以與實施例1相同的方式在與實施例1相同的基板上蝕刻氮化矽膜及氧化矽膜。
以與實施例1相同的方式量測選擇比,並將結果示於表1。
[表1]
Figure 108118094-A0101-12-0026-50
如上表1所示,在實施例1至9中,係使用具有二或更多矽烷基團的多矽烷化合物作為蝕刻劑溶液的添加劑,因此氧化矽膜幾乎不被蝕 刻且氮化矽膜係經選擇性蝕刻,因而達成高選擇比。然而,比較例1的單矽烷化合物添加劑對氧化矽膜具有高的蝕刻速率,因此具有較低的選擇比。
根據本揭露的蝕刻劑組合物具有氮化物膜對氧化物膜的高蝕刻選擇比,其係藉由包含矽烷化合物,該矽烷化合物與氧化物膜之表面反應而形成能夠保護該氧化物膜的保護膜。
另外,使用本揭露之蝕刻劑組合物可防止當去除氮化物膜時對氧化物膜的膜品質造成破壞,或者防止由於蝕刻氧化物膜所造成的電性質劣化,從而改善元件特性。
特定而言,本揭露係使用包含二或更多矽烷的矽烷化合物,從而即使以少量添加亦能獲得優異的選擇比。
即使已於上文顯示及描述例示性實施態樣,本領域技藝人士將清楚了解在不背離所附申請專利範圍所界定的本發明的範圍下可進行修改及變化。
Figure 108118094-A0101-11-0002-74
10‧‧‧基板
11‧‧‧穿隧氧化物膜
12‧‧‧多晶矽膜
13‧‧‧緩衝氧化物膜
14‧‧‧襯墊氮化物膜
15‧‧‧SOD氧化物膜

Claims (16)

  1. 一種蝕刻劑組合物,包含:磷酸以及如下化學式1所示之矽烷化合物:
    Figure 108118094-A0305-02-0030-1
    其中,A為n價基團,L為C1-C5伸烴基,R1至R3係獨立為氫、羥基、烴基、或烷氧基,其中R1至R3係各自存在或藉由雜元素而彼此連接,以及n為2至4之整數。
  2. 如請求項1所述之蝕刻劑組合物,其中R1至R3係獨立為C1-C20烷氧基,或者R1至R3為藉由氮而彼此連接的C1-C20烷氧基。
  3. 如請求項1所述之蝕刻劑組合物,其中A為C1-C20伸烴基、具有N作為鍵結位的基團、具有O作為鍵結位的基團、具有S作為鍵結位的基團、具有P作為鍵結位的基團、或胺鹽基團。
  4. 如請求項3所述之蝕刻劑組合物,其中該C1-C20伸烴基為C1-C20伸烷基或C6-C20伸芳基。
  5. 如請求項3所述之蝕刻劑組合物,其中該具有N作為鍵結位的基團為*-NR14-*、*-NR15CSNR16-*、*-NR17CONR18-*、*-NR19L1NR20-*、*-NR21CONR22L2NR23CONR24-*、*-NR25CONL3L4NCONR26-*、
    Figure 108118094-A0305-02-0031-3
    、或
    Figure 108118094-A0305-02-0031-2
    ,其中R14至R27係獨立為氫、C1-C20烷基、或C6-C20芳基,L1至L5為C1-C20伸烷基、C6-C20伸芳基、或R41(OR42)p,其中R41及R42係獨立為C1-C20伸烷基,且p為1至5之整數,以及L6為一直接鍵或(CH2)qNR43NR44,其中R43及R44係獨立為氫、C1-C20烷基、或C6-C20芳基、且q為1至5之整數。
  6. 如請求項3所述之蝕刻劑組合物,其中該具有O作為鍵結位的基團為*-O-*。
  7. 如請求項3所述之蝕刻劑組合物,其中該具有S作為鍵結位的基團為*-S-*、*-S-S-*、
    Figure 108118094-A0305-02-0031-8
    、或
    Figure 108118094-A0305-02-0031-9
  8. 如請求項3所述之蝕刻劑組合物,其中該具有P作為鍵結位的基團為
    Figure 108118094-A0305-02-0031-4
    Figure 108118094-A0305-02-0031-5
    Figure 108118094-A0305-02-0031-6
    、或
    Figure 108118094-A0305-02-0031-7
    ,其中R28及R29係獨立為氫、C1-C20烷基、C6-C20芳基、(C1-C20)烷氧基、或(C1-C20)烷基(C1-C20)烷氧基。
  9. 如請求項3所述之蝕刻劑組合物,其中該胺鹽基團為*-N+(R11R12)X3 --*或
    Figure 108118094-A0305-02-0032-10
    ,其中R11及R12係獨立為氫、C1-C20烷基、或C6-C20芳基,以及X1至X3係獨立為鹵素或C1-C20烷基碳酸鹽基團(C1-C20 alkylcarbonate group)。
  10. 如請求項1所述之蝕刻劑組合物,其中n為2。
  11. 如請求項1所述之蝕刻劑組合物,其中該矽烷化合物係選自以下結構式1至38之至少一者:
    Figure 108118094-A0305-02-0032-11
    Figure 108118094-A0305-02-0033-12
    Figure 108118094-A0305-02-0034-13
    Figure 108118094-A0305-02-0035-14
    其中R為氫、C1-C20烷基、或C6-C20芳基。
  12. 如請求項1所述之蝕刻劑組合物,其中相對於該蝕刻劑組合物整體,該矽烷化合物之含量係0.001至1重量%。
  13. 如請求項12所述之蝕刻劑組合物,更包含如下化學式2所示之矽烷化合物:
    Figure 108118094-A0305-02-0035-15
    其中R71至R74係獨立為氫、烴基、或雜烴基。
  14. 如請求項13所述之蝕刻劑組合物,更包含銨鹽。
  15. 一種矽烷化合物,係如下化學式1所示:
    Figure 108118094-A0305-02-0036-16
    其中,R1至R3係獨立為氫、羥基、烴基、或烷氧基,其中R1至R3係各自存在或藉由雜元素而彼此連接,以及L為C1-C5伸烴基,n為2至4之整數,A為*-N+R11R12X3 --*、
    Figure 108118094-A0305-02-0036-18
    、*-NR19L1NR20-*、*-NR21CONR22L2NR23CONR24-*、
    Figure 108118094-A0305-02-0036-20
    Figure 108118094-A0305-02-0036-19
    Figure 108118094-A0305-02-0036-17
    其中R11、R12、R19至R24、及R27係獨立為氫、C1-C20烷基、或C6-C20芳基,X1至X3係獨立為鹵素或C1-C20烷基碳酸鹽基團,L1及L5為C1-C20伸烷基或C6-C20伸芳基,L2為C1-C20伸烷基、C6-C20伸芳基、或R41(OR42)p,其中R41及R42係獨立為C1-C20伸烷基,且p為1至5之整數,以及 L6為一直接鍵或(CH2)qNR43CONR44,其中R43及R44係獨立為氫、C1-C20烷基、或C6-C20芳基、且q為1至5之整數,前提為R1至R3之至少一者不為甲基。
  16. 如請求項15所述之矽烷化合物,其中由化學式1所示之該矽烷化合物係選自如下結構式所示之化合物:
    Figure 108118094-A0305-02-0037-21
    Figure 108118094-A0305-02-0038-22
TW108118094A 2018-05-26 2019-05-24 蝕刻劑組合物及矽烷化合物 TWI784168B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2018-0060000 2018-05-26
KR1020180060000A KR102005963B1 (ko) 2018-05-26 2018-05-26 식각액 조성물 및 실란화합물

Publications (2)

Publication Number Publication Date
TW202003801A TW202003801A (zh) 2020-01-16
TWI784168B true TWI784168B (zh) 2022-11-21

Family

ID=67473830

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108118094A TWI784168B (zh) 2018-05-26 2019-05-24 蝕刻劑組合物及矽烷化合物

Country Status (5)

Country Link
US (1) US10781371B2 (zh)
JP (2) JP7365139B2 (zh)
KR (1) KR102005963B1 (zh)
CN (1) CN110527512B (zh)
TW (1) TWI784168B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102557642B1 (ko) * 2018-10-25 2023-07-20 에스케이이노베이션 주식회사 식각 조성물 첨가제, 그 제조방법 및 이를 포함하는 식각 조성물
KR102633743B1 (ko) 2018-10-26 2024-02-05 에스케이이노베이션 주식회사 식각액 조성물, 절연막의 식각방법 및 반도체 소자의 제조방법
KR20200122521A (ko) * 2019-04-18 2020-10-28 에스케이이노베이션 주식회사 신규한 규소 화합물
KR20200137410A (ko) * 2019-05-30 2020-12-09 에스케이이노베이션 주식회사 식각 조성물, 이를 이용한 절연막 식각 방법 및 반도체 소자의 제조방법, 그리고 신규 화합물
KR102525287B1 (ko) * 2019-10-18 2023-04-24 삼성에스디아이 주식회사 구리 막 연마용 cmp 슬러리 조성물 및 이를 이용한 구리 막 연마 방법
KR102345842B1 (ko) * 2020-09-21 2021-12-31 주식회사 이엔에프테크놀로지 실리콘 질화막 식각 조성물 및 이를 이용한 방법
US20230395852A1 (en) * 2020-10-30 2023-12-07 Panasonic Intellectual Property Management Co., Ltd. Alkoxysilyl compound and nonaqueous electrolytic solution additive containing same, and nonaqueous electrolytic solution and nonaqueous electrolytic solution secondary battery containing said additive
CN115746044A (zh) * 2022-09-27 2023-03-07 大连理工大学 一类含有链中柔性链段和脲键的硅烷偶联剂及其制备方法及其制备方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57202719A (en) * 1981-06-05 1982-12-11 Matsushita Electric Ind Co Ltd Condenser

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62283982A (ja) * 1986-05-29 1987-12-09 Sunstar Giken Kk ビス〔(アルコキシシリル)アルキル〕ジスルフイドの製法
DE102006004062A1 (de) 2006-01-28 2007-08-09 Degussa Gmbh Kautschukmischungen
TWI509690B (zh) 2006-12-21 2015-11-21 Entegris Inc 選擇性移除氮化矽之組合物及方法
KR101232442B1 (ko) 2007-09-21 2013-02-12 캐보트 마이크로일렉트로닉스 코포레이션 아미노실란으로 처리된 연마제 입자를 이용한 연마 조성물 및 방법
KR101243331B1 (ko) 2010-12-17 2013-03-13 솔브레인 주식회사 화학 기계적 연마 슬러리 조성물 및 이를 이용하는 반도체 소자의 제조 방법
KR101782329B1 (ko) * 2011-10-18 2017-09-28 삼성전자주식회사 식각용 조성물 및 이를 이용하는 반도체 기억 소자의 형성 방법
US9368647B2 (en) * 2011-10-18 2016-06-14 Samsung Electronics Co., Ltd. Compositions for etching
KR101320416B1 (ko) * 2011-12-29 2013-10-22 솔브레인 주식회사 식각액 조성물 및 이를 이용한 습식 식각방법
US8778212B2 (en) 2012-05-22 2014-07-15 Cabot Microelectronics Corporation CMP composition containing zirconia particles and method of use
JP2014099480A (ja) 2012-11-13 2014-05-29 Fujifilm Corp 半導体基板のエッチング方法及び半導体素子の製造方法
EP3161098B1 (en) * 2014-06-25 2022-10-26 CMC Materials, Inc. Tungsten chemical-mechanical polishing composition
JP6580397B2 (ja) 2014-07-17 2019-09-25 ソウルブレイン シーオー., エルティーディー. エッチング用組成物及びこれを用いた半導体素子の製造方法
KR20160010267A (ko) * 2014-07-17 2016-01-27 솔브레인 주식회사 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
KR102545802B1 (ko) * 2015-12-04 2023-06-21 솔브레인 주식회사 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
WO2017095022A1 (ko) 2015-12-04 2017-06-08 솔브레인 주식회사 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
CN107345137A (zh) * 2016-05-04 2017-11-14 Oci有限公司 能够抑制颗粒出现的蚀刻溶液
CN109689838A (zh) 2016-12-26 2019-04-26 秀博瑞殷株式公社 蚀刻用组合物和使用该蚀刻用组合物制造半导体器件的方法
KR102534841B1 (ko) * 2016-12-26 2023-05-19 솔브레인 주식회사 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
KR101828437B1 (ko) 2017-04-06 2018-03-29 주식회사 디엔에스 실리콘 질화막 식각용 조성물.
KR102629574B1 (ko) * 2017-11-24 2024-01-26 동우 화인켐 주식회사 절연막 식각액 조성물 및 이를 이용한 패턴 형성 방법
KR102602860B1 (ko) * 2017-11-24 2023-11-16 동우 화인켐 주식회사 절연막 식각액 조성물 및 이를 이용한 패턴 형성 방법
KR102653096B1 (ko) * 2018-02-13 2024-04-01 동우 화인켐 주식회사 절연막 식각액 조성물 및 이를 이용한 패턴 형성 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57202719A (en) * 1981-06-05 1982-12-11 Matsushita Electric Ind Co Ltd Condenser

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
期刊 Sambasivarao Kotha A Short Synthetic Route to Benzosultine-sulfone using Rongalite and [2+2+2]-Cyclotrimerization ChemistrySelect 2017 10804-10808 *

Also Published As

Publication number Publication date
KR102005963B1 (ko) 2019-07-31
CN110527512B (zh) 2022-09-13
CN110527512A (zh) 2019-12-03
JP2019204953A (ja) 2019-11-28
US20190359886A1 (en) 2019-11-28
JP2023162183A (ja) 2023-11-08
TW202003801A (zh) 2020-01-16
JP7365139B2 (ja) 2023-10-19
US10781371B2 (en) 2020-09-22

Similar Documents

Publication Publication Date Title
TWI784168B (zh) 蝕刻劑組合物及矽烷化合物
TWI794500B (zh) 蝕刻劑組合物、蝕刻絕緣膜的方法、製造半導體裝置的方法、及矽烷化合物
TWI813803B (zh) 矽烷化合物及其應用
CN111100640B (zh) 用于蚀刻组合物的添加剂、其制备方法和包含其的蚀刻组合物
CN112011341B (zh) 刻蚀用组合物、刻蚀绝缘体的方法和制造半导体器件的方法以及新型化合物
KR102576575B1 (ko) 식각 조성물, 이를 이용한 절연막의 식각방법 및 반도체 소자의 제조방법
KR20200057288A (ko) 식각액 조성물, 절연막의 식각방법 및 반도체 소자의 제조방법
KR102576576B1 (ko) 식각 조성물, 이를 이용한 절연막의 식각방법 및 반도체 소자의 제조방법
TWI837418B (zh) 蝕刻組合物、使用彼蝕刻半導體元件之絕緣膜的方法、以及製備半導體元件的方法
KR102576574B1 (ko) 식각 조성물, 이를 이용한 절연막의 식각방법 및 반도체 소자의 제조방법
TW202108747A (zh) 蝕刻組合物、使用彼蝕刻半導體元件之絕緣膜的方法及製備半導體元件的方法