CN107871652B - 半导体器件的制造方法及衬底处理装置 - Google Patents

半导体器件的制造方法及衬底处理装置 Download PDF

Info

Publication number
CN107871652B
CN107871652B CN201611237030.XA CN201611237030A CN107871652B CN 107871652 B CN107871652 B CN 107871652B CN 201611237030 A CN201611237030 A CN 201611237030A CN 107871652 B CN107871652 B CN 107871652B
Authority
CN
China
Prior art keywords
gas
substrate
film
plasma
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201611237030.XA
Other languages
English (en)
Other versions
CN107871652A (zh
Inventor
岛本聪
吉野晃生
寺崎正
中山雅则
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
INTERNATIONAL ELECTRIC CO Ltd
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of CN107871652A publication Critical patent/CN107871652A/zh
Application granted granted Critical
Publication of CN107871652B publication Critical patent/CN107871652B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明涉及半导体器件的制造方法及衬底处理装置。本发明的课题为提高形成于衬底上的氧化膜的膜质。本发明的方法具有:将形成有多个凸状含碳膜的衬底收容在处理室内的工序,向衬底供给含硅气体而在凸状含碳膜的表面和衬底的表面形成含硅膜的工序,向衬底供给进行了第一等离子体化的含氧气体从而形成含硅氧膜的工序,和在形成含硅氧膜后、供给进行了第二等离子体化的含氧气体从而形成氧化硅膜的工序。

Description

半导体器件的制造方法及衬底处理装置
技术领域
本发明涉及半导体器件的制造方法及衬底处理装置。
背景技术
作为半导体器件的制造工序的一个工序,有时进行在衬底上形成包含硅(Si)等规定元素的氧化膜的成膜处理(例如,参见专利文献1)。
现有技术文献
专利文献
专利文献1:日本特开平11-67747号公报
发明内容
正在寻求提高形成于衬底上的氧化膜的膜质的技术。
因此,本发明中,提供一种能够提高形成于衬底上的氧化膜的膜质的技术。
根据一个方式,提供一种技术,具有:
将形成有多个凸状含碳膜的衬底收容在处理室内的工序,向衬底供给含硅气体、在凸状含碳膜的表面和衬底的表面形成含硅膜的工序,向衬底供给进行了第一等离子体化的含氧气体从而形成含硅氧膜的工序,和在形成含硅氧膜后、供给进行了第二等离子体化的含氧气体从而形成氧化硅膜的工序。
发明效果
通过本发明涉及的技术,能够提高形成于衬底上的氧化膜的膜质。
附图说明
[图1]为一实施方式涉及的衬底处理装置的构成简图。
[图2]为一实施方式涉及的气体供给系统的构成简图。
[图3]为一实施方式涉及的衬底处理装置的控制器的构成简图。
[图4]为表示一实施方式涉及的各工序后的衬底状态的图。
[图5]为表示一实施方式涉及的第一处理工序的流程图。
[图6]为表示一实施方式涉及的第二处理工序的流程图。
[图7]为表示一实施方式涉及的第三处理工序的流程图。
[图8]为一实施方式涉及的第一处理工序的顺序图。
[图9]为表示其他实施方式涉及的第一处理工序的温度控制例的图。
附图标记说明
100 处理装置
200 晶片(衬底)
201a 第一处理室
201b 第二处理室
202 处理容器
212 衬底载置台
213 加热器
221 第一排气口
234 簇射头
244 第一电极
260 控制器
具体实施方式
以下,说明本发明的实施方式。
<一实施方式>
以下,结合附图说明本发明的一实施方式。
(1)衬底处理装置的构成
首先,说明一实施方式涉及的衬底处理装置。
说明本实施方式涉及的处理装置100。衬底处理装置100例如为绝缘膜形成单元,并如图1所示以单片式衬底处理装置的形式构成。
如图1所示,衬底处理装置100包括处理容器202。处理容器202构成为例如水平截面为圆形、且扁平的密闭容器。另外,处理容器202由例如铝(Al)、不锈钢(SUS)等金属材料或石英构成。在处理容器202内,形成有对作为衬底的硅晶片等晶片200进行处理的第一处理空间(第一处理室)201a和第二处理空间(第二处理室)201b、移载空间(移载室)203。处理容器202由上部容器202a和下部容器202b构成。在上部容器202a与下部容器202b之间设置有分隔部204。将由上部处理容器202a围成的空间、且比分隔部204更靠上方的空间称为第一处理室201a。另外,将比分隔部204更靠下方的空间、且比对下部容器202b内进行排气的第二排气口1481更靠上方的空间称为第二处理室201b。另外,优选地,第二处理室201b在衬底支承部210位于第二处理位置201c时形成,比分隔部204更靠下方的空间、且比衬底载置面211更靠上方的空间成为第二处理室201b。另外,将由下部容器202b围成的空间、且闸阀1490附近称为移载室203。
在下部容器202b的侧面设置有与闸阀1490相邻的衬底搬入搬出口1480,晶片200经由衬底搬入搬出口1480在未图示的搬送室和移载室203之间移动。在下部容器202b的底部设置有多个提升销207。而且,下部容器202b接地。
在处理室201内设置有支承晶片200的衬底支承部210。衬底支承部210主要具有:载置晶片200的载置面211和表面上具有载置面211的衬底载置台212、作为加热部的加热器213。在衬底载置台212上、在与提升销207对应的位置处分别设置供提升销207贯通的贯通孔214。另外,加热器213构成为连接于温度控制部258并且能够控制温度。另外,也可以在衬底载置台212上设置向晶片200、处理室201施加偏压的偏压电极256。偏压电极256构成为与偏压调整部257连接,并且通过偏压调整部257能够调整偏压。
衬底载置台212通过轴217支承。轴217贯通处理容器202的底部,进而在处理容器202的外部与升降部218连接。通过使升降部218工作而使轴217及支承台212升降,从而能够使载置于载置面211上的晶片200升降。需要说明的是,轴217下端部的周围由波纹管219覆盖,处理空间201内保持气密。
衬底载置台212在晶片200搬送时向晶片移载位置移动、在晶片200进行第一处理时向由图1的实线所示的第一处理位置(晶片处理位置)移动。另外,第三处理时,向图1的虚线所示的第二处理位置移动。需要说明的是,晶片移载位置为提升销207的上端从衬底载置面211的上表面突出的位置。
具体而言,在使衬底载置台212下降至晶片移载位置时,使得提升销207的上端部从载置面211的上表面突出,从而使提升销207从下方支承晶片200。另外,在使衬底载置台212上升至晶片处理位置时,使得提升销207从载置面211的上表面没入,从而使载置面211从下方支承晶片200。需要说明的是,由于提升销207与晶片200直接接触,所以优选由例如石英、氧化铝等材质形成。
(排气系统)
在处理室201(上部容器202a)的内壁侧面设置有对处理室201的气氛进行排气的作为第一排气部的第一排气口221。排气管224与第一排气口221连接,在排气管224上,依次串联地连接有将处理室201内控制为规定压力的APC(Auto Pressure Controller,自动压力控制器)等压力调节器227和真空泵223。第一排气系统(排气管线)主要由第一排气口221、排气管224、压力调节器227构成。需要说明的是,真空泵223也可以作为第一排气系统的构成。另外,在移载室203的内壁侧面设置有对将移载室203的气氛进行排气的第二排气口1481。另外,在第二排气口1481中设有排气管1482。排气管1482上设有压力调节器228,并构成为能够将移载室203内的压力排气至规定的压力。另外,也可以经由移载室203对处理室201内的气氛进行排气。
(气体导入口)
在处理室201的上部设置的簇射头234的上面(顶壁)设置有用于向处理室201内供给各种气体的气体导入口241。对与作为气体供给部的气体导入口241连接的各气体供给单元的构成,在后面描述。
(气体分散单元)
作为气体分散单元的簇射头234具有缓冲室232、作为第一活化部的第一电极244。在第一电极244,设置有多个将气体向晶片200分散供给的孔234a。簇射头234设置在气体导入口241和处理室201之间。从气体导入口241导入的气体向簇射头234的缓冲室232(也称为分散部)供给,并经由孔234a向处理室201供给。
需要说明的是,第一电极244由导电性金属构成,并且构成为用于激发气体的活化部(激发部)的一部分。第一电极244构成为可供给电磁波(高频电力、微波)。需要说明的是,当盖231由导电性部件构成时,在盖231和第一电极244之间设置绝缘快233,从而构成为使盖231和第一电极244之间绝缘。
需要说明的是,在缓冲室232也可以设置气体引导件235。气体引导件235是以气体导入口241为中心随着朝向晶片200的径向而直径扩大的圆锥形状。气体引导件235的下端的水平方向的直径延伸形成到比设置有孔234a的区域的端部更靠外周的位置。通过设置气体引导件235,能够向多个孔234a分别均匀地供给气体,并且能够使向晶片200的面内供给的活性种的量均匀化。
(第一活化部(第一等离子体生成部))
在作为第一活化部的一部分的第一电极244上,经由开关274连接匹配器251和高频电源部252、并构成为能够供给电磁波(高频电力、微波)。由此,能够使供给至第一处理室201a内的气体发生活化。另外,第一电极244构成为能够生成电容耦合型的等离子体。具体而言,第一电极244以导电性的板状形成、并构成为被上部容器202a支承的方式。第一活化部至少由第一电极244、匹配器251、高频电源部252构成。需要说明的是,第一活化部也可构成为包括阻抗计254。需要说明的是,也可以在第一电极244和高频电源252之间设置阻抗计254。通过设置阻抗计254,能够基于所测定的阻抗,对匹配器251、高频电源252进行反馈控制。
(第二活化部(第二等离子体生成部))
在作为第二活化部的一部分的第二电极344上,经由开关274连接匹配器251和高频电源部252、并构成为能够供给电磁波(高频电力、微波)。由此,由此,能够使供给至处理室201b内的气体发生活化。需要说明的是,第二电极344构成为能够生成电感耦合型的等离子体。通过生成电感耦合型的等离子体,能够在第二处理空间201b内生成大量活性种。具体而言,第二电极344构成为线圈状。而且,第二电极344被石英构件345包围、并构成为使得第二电极344不与气体直接接触。另外,石英构件345作为从气体导入口241向第二处理室201b供给的气体的引导件而发挥作用,并能够向设置于第二处理室201b的晶片200均匀地供给气体。另外,石英构件345的下端优选以位于比衬底载置台212的上端215更靠近下侧的方式配置衬底支承部201。需要说明的是,将该配置位置称为第二处理位置201c。通过以这种方式配置,能够在衬底载置台212的周围形成气体排气通路355、并能够从晶片200的外周均匀的进行排气。需要说明的是,也可以不设置开关274、而设置匹配器351和高频电源部352从而能够从高频电源部352向第二电极344供给电力。
(气体供给系统)
在气体导入口241上连接气体供给管150。从气体供给管150供给后述的第一气体、第二气体、第三气体、第四气体、吹扫气体。
图2中示出了第一气体供给部、第二气体供给部、第三气体供给部、第四气体供给部、吹扫气体供给部等气体供给系统的构成简图。
如图2所示,在气体供给管150上连接气体供给管集合部140。在气体供给管集合部140上,第一气体(处理气体)供给管113a、吹扫气体供给管133a、第二气体(处理气体)供给管123a、第三气体(改性气体)供给管143a、第四气体(添加气体)供给管153a。
(第一气体供给部)
第一气体供给部设置有第一气体供给管113a、质量流量控制器(MFC)115、阀116。需要说明的是,在连接于第一气体供给管113a的第一气体供给源113也可以构成为包括在第一气体供给部。另外,在处理气体的原料为液体、固体的情况下,也可以设置气化器180。
(第二气体供给部)
第二气体供给部设置有第二气体供给管123a、MFC125、阀126。需要说明的是,连接于第二气体供给管123a的第二气体供给源123也可以构成为包括在第二气体供给部。
需要说明的是,也可以构成为设置远程等离子体单元(RPU)124,从而将第二气体活化。
(吹扫气体供给部)
在吹扫气体供给部设置吹扫气体供给管133a、MFC135、阀136。需要说明的是,也可以构成为将连接于吹扫气体供给管133a的吹扫气体供给源133包括在吹扫气体供给部。
(第三气体供给部)
在第三气体供给部(改性气体供给部)设置第三气体供给管143a、MFC145、阀146。需要说明的是,也可以构成为将连接于第三气体供给管143a的第三气体供给源143包括在第三气体供给部。
需要说明的是,也可以构成为设置远程等离子体单元(RPU)144、从而将第三气体活化。
(第四气体供给部)
在第四气体供给部(添加气体供给部)设置第四气体供给管153a、MFC155、阀156。需要说明的是,也可以构成为将连接于第三气体供给管143a的第四气体供给源153包括在第四气体供给部。
需要说明的是,也可以构成为设置远程等离子体单元(RPU)154、从而将第四气体活化。
(控制部)
如图1所示,衬底处理装置100具有对衬底处理装置100的各部分的动作进行控制的控制器260。
将控制器260的概略示于图3。作为控制部(控制手段)的控制器260以包括CPU(Central Processing Unit)260a、RAM(Random Access Memory)260b、存储装置260c、I/O端口260d、的计算机的形式构成。RAM260b、存储装置260c、I/O端口260d以能够经由内部总线260e与CPU260a进行数据交换的方式构成。在控制器260上,构成为可连接例如作为触摸面板等而构成的输入输出装置261、外部存储装置262、接收部285等。
存储装置260c由例如闪存、HDD(Hard Disk Drive)等构成。在存储装置260c内,以可读取的方式存储有:控制衬底处理装置的动作的控制程序;记载有后述衬底处理的步骤、条件等的工艺制程;直至设定在对晶片200的处理中使用的工艺制程之前的过程中所产生的运算数据、处理数据等。需要说明的是,工艺制程是以使控制器260执行后述衬底处理工序的各步骤、并能获得规定结果的方式组合得到的,其作为程序发挥作用。以下,也将该工艺制程、控制程序等统一简称为程序。需要说明的是,本说明书中在使用程序这样的用语的情况下,有时仅单独包含工艺制程,有时仅单独包含控制程序,或者有时包含上述两者。另外,RAM260b以存储区域(工作区)的形式构成,该存储区域暂时保持通过CPU260a读取的程序、运算数据、处理数据等数据。
I/O端口260d连接于闸阀1490、升降部218、温度控制部258、压力调节器227、真空泵223、匹配器251(351)、高频电源部252(352)、MFC115、125、135、145、155、阀116、126、136、146、156、228、(RPU124、144、154、气化器180)偏压控制部257等。另外,也可连接于阻抗计254(354)等。另外,也可以连接于开关274。
作为运算部的CPU260a被构成为:读取并执行来自存储装置260c的控制程序,并且根据来自输入输出装置261的操作命令的输入等从存储装置260c读取工艺制程。而且,CPU260a被构成为:对从接收部285输入的设定值和在存储装置260c中存储的工艺制程、控制数据进行比较、运算,从而能够算出运算数据。另外,构成为可执行与运算数据对应的处理数据(工艺制程)的决定处理等。并且,CPU260a能够按照读取的工艺制程的内容,构成为控制闸阀1490的开闭动作、升降部218的升降动作、经由温度控制部258而对加热器213供给电力的动作、压力调节器227的压力调节动作、真空泵223的起停控制、MFC115、125、135、145、155处的气体流量控制动作、RPU124、144、154的气体的活化动作、阀116、126、136、146、156、228处的气体的起停控制、匹配器251的电力的匹配动作、高频电源部252的电力控制、偏压控制部257的控制动作、基于阻抗计254(354)所测定的测定数据而进行的匹配器251(351)的匹配动作、高频电源252(352)的电力控制动作、开关274的ON/OFF动作等。在进行各构成的控制时,通过CPU260a内的发送接收部发送、接收按照工艺制程的内容的控制信息从而进行控制。
需要说明的是,控制器260不限于以专用的计算机的形式构成的情况,也可以以通用的计算机的形式构成。例如,准备存储了上述程序的外部存储装置(例如,磁带、软盘、硬盘等磁盘;CD、DVD等光盘;MO等光磁盘;USB存储器、存储卡等半导体存储器)262,然后使用该外部存储装置262将程序安装在通用的计算机上等,从而可以构成本实施方式的控制器260。需要说明的是,用于向计算机提供程序的手段不限于经由外部存储装置262进行提供的情况。例如,也可以不经由外部存储装置262、而是使用接收部285、网络263(互联网、专用线路)等通信手段提供程序。需要说明的是,存储装置260c、外部存储装置262以计算机可读取的记录介质的形式构成。以下,也将它们统一简称为记录介质。需要说明的是,本说明书中使用记录介质这一词语时,有时仅单独包含存储装置260c,有时仅单独包含外部存储装置262、或有时包含上述两者。
(2)衬底处理工序
使用图4~图9,作为半导体器件的制造工序的一个工序,对使用上述衬底处理装置在作为衬底的晶片200上形成氧化硅膜(SiO膜)的衬底处理顺序的例进行说明。在以下说明中,构成衬底处理装置的各部的动作通过控制器260进行控制。
在图4、图5、图6、图7所示的衬底处理工序中,在同一处理室201内,进行如下工序:
第一处理工序(成膜工序),对设为第一温度的晶片200供给氨基硅烷气体、二硅烷(Si2H6:DS)气体,从而在晶片200上形成含Si膜403,
第二处理工序(氧化工序),在晶片200上生成第一等离子体从而将含Si膜403氧化、形成含硅氧膜404,
第三处理工序(精制(treatment)工序),在晶片200上生成第二等离子体从而对含硅氧膜404进行精制、生成氧化硅膜405。
在上述成膜工序中,如图8所示的气体供给定时(timing)那样,通过将交替进行下述工序的循环进行规定次数,从而在晶片200上形成含Si膜403:
对晶片200供给作为第一气体的氨基硅烷气体的工序S203,和
对晶片200供给作为第二气体的DS气体的工序S205。
在本说明书中使用术语“晶片”时,有时指“晶片本身”,有时指“由晶片和形成于其表面的规定层、膜等得到的层合体(集合体)”(即,有时包括形成于表面的规定层或膜等在内地称为晶片)。另外,在本说明书中使用术语“晶片的表面”时,有时指“晶片本身的表面(露出面)”,有时指“形成于晶片上的规定层或膜等的表面、即作为层合体的晶片的最外表面”。
因此,在本说明书中,当记载有“对晶片供给规定气体”时,有时指“对晶片本身的表面(露出面)直接供给规定气体”,有时指“对形成于晶片上的层或膜等、即对作为层合体的晶片的最外表面供给规定气体”。另外,在本说明书中,当记载有“在晶片上形成规定的层(或膜)”时,有时指“在晶片本身的表面(露出面)上直接形成规定层(或膜)”,有时指“在形成于晶片上的层或膜等上、即在作为层合体的晶片的最外表面上形成规定层(或膜)”。
另外,在本说明书中,使用术语“衬底”的情形也与使用术语“晶片”的情形为相同的含义。这时,在上述说明中,考虑将“晶片”换做“衬底”即可。
(衬底搬入工序S201)
在衬底处理时,首先,将晶片200搬入第一处理室201a。具体而言,利用升降部218使衬底支承部210下降,成为提升销207从贯通孔214向衬底支承部210的上表面侧突出的状态。另外,将处理室201(201a,201b)内、移载室203调节为规定压力后,打开闸阀1490,使晶片200从闸阀1490载置于提升销207上。在使晶片200载置于提升销207上后,关闭闸阀1490,利用升降部218使衬底支承部210上升至规定位置,由此晶片200被从提升销207载置到衬底支承部210。需要说明的是,如图4所示,对于晶片200而言,在表面层401上形成有多个凸状含碳膜402。上述含碳膜402形成为在二次图形曝光(double patterning)中使用的芯(core)。例如,含碳膜402为碳膜。表面层401在例如硅衬底的表面形成。另外,优选地,凸状含碳膜402形成为线(line)状。对于这样的线状的芯需要在直至二次图形曝光结束为止维持其形状。但是,由于以下原因,存在芯的形状发生变化的问题。例如,有时由于碳发生脱离而发生。另外,有时由于其他膜中的元素、在形成其他膜时供给的气体与碳反应从而脱离而发生。
(减压升温工序S202)
接下来,经由排气管224对第一处理室201a内进行排气,以使第一处理室201a内成为规定压力(真空度)。此时,基于压力传感器(未图示)所检测的压力值,反馈控制作为压力调整器227的APC阀的阀开度。另外,基于温度传感器(未图示)所测定的温度值,反馈控制向加热器213的通电量,以使第一处理室201a内成为规定温度。具体而言,利用加热器213预先对衬底支承部210加热,在晶片200或衬底支承部210的温度不再发生变化后将晶片200放置规定时间。在此期间,残留于处理室201内的水分或来自部件的脱除气体等存在时,可以通过利用真空排气、N2气供给的吹扫将它们除去。由此,成膜工艺前的准备结束。需要说明的是,也可以在将处理室201内排气至规定压力时,一次真空排气至能够达到的真空度。
此时的加热器213的温度设定为在100~600℃、优选100~500℃、更优选250~450℃的范围内的规定温度。
需要说明的是,优选地,在晶片200到达规定的温度之前,进行重复真空排气和非活性气体的供给的循环吹扫。由此,能够降低第一处理室201a中的氧浓度、抑制残留氧与碳膜402的反应。
(成膜工序S301)
接下来,作为第一处理,对在晶片200形成含Si膜403的例子进行说明。对于成膜工序S301的详情,使用图4、图5、图8进行说明。
将晶片200载置在衬底支承部210,在第一处理室201a内的气氛稳定之后,进行S203~S207的步骤。
(第一气体供给工序S203)
在第一气体供给工序S203中,从第一气体供给部向第一处理室201a内供给作为第一气体(处理气体)的氨基硅烷气体。具体而言,在用MFC115对从第一气体供给源113供给的氨基硅烷气体进行流量调节之后,向衬底处理装置100供给。进行了流量调节的氨基硅烷气体通过缓冲室232、从簇射头234的气体供给孔234a被供给至减压状态的第一处理室201a内。另外,继续进行利用排气系统的处理室201内的排气、从而以第一处理室201a内的压力成为规定的压力(第一压力)的方式进行控制。此时,向晶片200供给氨基硅烷气体。氨基硅烷气体以规定的压力(第一压力:例如10Pa以上1000Pa 以下)向第一处理室201a内供给。像这样,向晶片200供给氨基硅烷气体。通过氨基硅烷气体的供给,在晶片200上形成含硅层。这里,具体而言,氨基硅烷气体包括以下。丁氨基甲硅烷(BAS)气体、双(叔丁基氨基)甲硅烷(BTBAS)气体、二甲氨基甲硅烷(DMAS)气体、双(二甲氨基)甲硅烷(BDMAS)气体、三(二甲氨基)甲硅烷(3DMAS)气体、二乙氨基甲硅烷(DEAS)气体、双(二乙氨基)甲硅烷(BDEAS)气体、二丙氨基甲硅烷(DPAS)气体、二异丙基氨基甲硅烷(DIPAS)气体。另外,这里,所谓含硅层,是以硅(Si)为主成分的层、且为包含碳(C)、氯(Cl)、氮(N)内的至少一种以上的层。以下,对包含硅和碳的层的情况进行说明。
(第一吹扫工序S204)
在晶片200上形成含硅层后,关闭第一气体供给管113a的气体阀116、停止氨基硅烷气体的供给。通过停止第一气体,将存在于处理室201中的第一气体、存在于缓冲室232中的处理气体从第一排气部进行排气,从而进行第一吹扫工序S204。
另外,在第一吹扫工序S204中,除了简单地对气体进行排气(抽真空)从而将气体排出以外,还可构成为进行利用吹扫气体供给源133供给非活性气体、通过将残留气体挤出而进行的排出处理。此时,打开阀136,通过MFC135进行非活性气体的流量调节。另外,也可以将抽真空和非活性气体的供给进行组合来进行。另外,也可以构成为交替进行抽真空和非活性气体的供给。
经过规定的时间后,关闭阀136,停止非活性气体的供给。需要说明的是,也可以在打开阀136的状态下继续进行非活性气体的供给。
此时的加热器213的温度以成为与向晶片200供给第一气体时同样的温度来设定。对于作为从非活性气体供给系统供给的吹扫气体的N2气体的供给流量,分别设为例如100~20000sccm的范围内的流量。作为吹扫气体,除了N2气体之外,还可使用Ar、He、Ne、Xe等稀有气体。
(第二气体供给工序S205)
第一吹扫工序S204之后,从第二气体供给部向第一处理室201a内供给作为第二气体(处理气体)的DS气体。具体而言,打开阀126,经由气体导入口241、缓冲室232、多个孔234a,向第一处理室201a内供给DS气体。需要说明的是,第二气体也被称为与对晶片200进行处理的处理气体、或第一气体、含硅层、晶片200发生反应的反应气体。需要说明的是,第二气体只要为硅和氢的化合物即可,不限于Si2H6
此时,以使DS气体的流量成为规定的流量的方式调节MFC125。需要说明的是,DS气体的供给流量为例如1sccm以上10000sccm以下。
若将DS气体向形成于晶片200上的含硅层进行供给,则含硅层被改性、并形成规定厚度的Si层。Si层根据例如第一处理室201a内的压力、DS气体的流量、晶片200的温度等而以规定的厚度、规定的分布来形成。
经过规定的时间后,关闭阀126、停止DS气体的供给。
此时的加热器213的温度以成为与向晶片200供给第一气体时同样的温度的方式来设定。
(第二吹扫工序S206)
通过与第一吹扫工序S204同样的动作,进行第二吹扫工序S206。例如,通过停止DS气体的供给,通过将存在于处理室201中的DS气体、存在于缓冲室232中的DS气体从第一排气部进行排气,从而进行第二吹扫工序S206。另外,也可以通过向缓冲室232和处理室201供给吹扫气体,来进行吹扫。
(判定工序S207)
第二吹扫工序S206结束后,控制器260对是否将上述成膜工序S301(S203~S206)进行了规定的循环数n进行判定。即,判定是否在晶片200上形成了期望厚度的含Si膜403。将上述步骤S203~S206作为1循环,通过将该循环至少进行1次以上(步骤S207),从而能够在晶片200上将规定膜厚的含Si膜403成膜。需要说明的是,上述循环优选进行多次重复。由此,在晶片200上形成规定膜厚的含Si膜403。另外,这里,含Si膜403为以Si为主成分的膜、并且是至少残留有C、Cl、N之中的至少一种以上的膜。以下,对残留有C的情况进行说明。
在判定工序S207中,当成膜工序S301没有实施规定次数时(判定为“否”(No)时)、重复成膜工序S301的循环,当实施了规定次数时(判定为“是”(Yes)时),结束成膜工序S301,并执行第二处理工序S302。
(第二处理(氧化处理)工序S302)
接下来,作为第二处理,说明将在晶片200成膜的含Si膜403进行氧化处理的例子。对于第二处理工序S302的详情,使用图4、图6进行说明。
(衬底调节工序S303)
在第二处理时,首先,将第一处理室201a内调压为规定的压力。另外,也可调节偏压电极256的电位。在上述调节后,进行第三气体供给工序S304。这里,对于晶片200的温度而言,以成为与第一处理时同程度的方式调节加热器213的温度。
需要说明的是,这里,为了抑制含Si膜403中所含的Si原子的移动(迁移(migration))、Si从含Si膜403的脱离(热腐蚀)的发生,可构成为使晶片200的温度低于其在第一处理时的温度。
关于迁移,其是由于Si原子通过热能进行热振动从而发生的。因此,为了抑制迁移,优选降低温度。例如,可以比第一处理时更低的方式进行温度调节,调整为在即将产生后述的第一等离子体之前成为与第一处理时的温度相同程度。通过抑制Si原子的迁移,能够抑制含Si膜403的形状(例如膜厚)变化。由于Si原子发生迁移,存在表面粗糙度(roughness)局部地发生变化、对半导体器件的特性产生影响的课题。热腐蚀由于Si发生升华而发生。
(第三气体供给工序S304)
第三气体供给工序S304中,从第三气体供给系统向第一处理室201a内供给作为第三气体(氧化气体)的氧(O2)气体。具体而言,通过MFC145对从第三气体供给源143供给的O2气体进行流量调节后,向衬底处理装置100供给。进行了流量调节的O2气体通过缓冲室232、从簇射头234的气体供给孔234a被供给至减压状态的第一处理室201a内。另外,继续进行从第一排气口221对第一处理室201a内的气氛进行的排气、从而以第一处理室201a内的压力成为规定的压力(第二压力)的方式进行控制。此时,向晶片200供给O2气体。O2气体以规定的压力(第二压力:例如10Pa以上1000Pa以下)向第一处理室201a内供给。
(第一等离子体生成工序S305)
从高频电源部252经由匹配器251向第一电极244供给高频电力。通过向第一电极244供给高频电力,在孔234a内、第一处理室201a内生成第三气体的等离子体(第一等离子体)。第一等离子体中包含第三气体的活性种。若将活化了的O2向形成于晶片200上的含Si膜403供给,则含Si膜403被改性、形成含硅氧膜404。像这样,通过使用第一电极244在晶片200的正上方生成等离子体,能够向晶片200供给含有许多离子成分的活性种。由此,能够仅将含Si膜403的表面氧化。通过将含Si膜403的表面氧化,能够抑制Si的凝聚、脱离、并避免最终形成的SiO膜的表面粗糙度的劣化。另一方面,含Si膜403的内部存在残留有碳的可能性。残留的碳能够通过接下来的第三处理工序而除去。需要说明的是,这里,由于使用第一电极244而生成的第一等离子体为电容耦合性等离子体,因此向晶片200供给的离子成分的量构成为比后述第二等离子体中所含的离子成分多。
在规定时间生成第一等离子体从而进行处理之后,停止对第一电极244进行的电力供给及第三气体的供给,从而将第一处理室201a内的气氛排气。需要说明的是,在将第一处理室201a内排气时,可进行与上述第一吹扫工序S204同样的吹扫。
需要说明的是,高频电力的供给在第三气体的供给之后开始,但也可构成为从第三气体的供给开始前起供给高频电力。
另外,通过利用偏压调整部257对设置于衬底载置台212内的偏压电极256的电位进行调节,能够调节对晶片200的带电粒子的供给量。
另外,第二处理优选在与进行了第一处理的处理室相同的处理室(第一处理室201a)内进行。通过在相同的处理室进行处理,能够抑制含Si膜403中的Si原子的迁移。
(第三处理(精制处理)工序S402)
接下来,作为第三处理,说明对在晶片200上成膜的含硅氧膜404进行精制处理的例子。对于第三处理工序S402的详情,使用图7进行说明。
(衬底位置调节工序S403)
在第三处理时,首先,使晶片200下降至图1中以虚线表示的第二处理位置201c。具体而言,利用升降部218使衬底支承部210下降。此时,晶片200位于第一处理室201a的下部空间、并且与第一处理室201a连通的第二处理室201b内。另外,将第二处理室201b内调压为规定的压力。通过该调压,停止从第一排气口221的排气,而从第二排气口1481排气。另外,也可调价加热器213的温度、偏压电极256的电位。在上述调节后,进行第三气体供给工序S304。
(第三气体供给工序S404)
在第三气体供给工序S404中,从第三气体供给系统向第二处理室201b内供给作为第三气体(精制气体)氧(O2)气体。具体而言,通过MFC145对从第三气体供给源143供给的O2气体进行流量调节后,向衬底处理装置100供给。进行了流量调节的O2气体通过缓冲室232、从簇射头234的气体供给孔234a经由减压状态的第一处理室201a而向第二处理室201b内供给。另外,继续进行从第二排气口1481对第二处理室201b内的气氛进行的排气、从而以第二处理室201b内的压力成为规定的压力范围(第二压力)的方式进行。此时,向晶片200供给O2气体。O2气体以规定的压力(第三压力:例如10Pa以上1000Pa以下)向第二处理室201b内供给。
(第二等离子体生成工序S405)
这里,切换开关274、从而设为能够从高频电源252向第二电极344供给电力。在开关274的切换后,向设置于石英构件345内的第二电极344供给高频电力。通过向第二电极344供给高频电力,在第二处理室201b(第二电极344间)内生成第三气体的第二等离子体(第三气体的活性种)。若将活化了的O2向形成于晶片200上的含硅氧膜404供给,则可进行精制处理。具体而言,活化了的O2中的氧成分可将含硅氧膜404中残留的C除去。此时C以碳酸(CO)气体的形式排出。另外,活化了的O2中的氧成分进入被除去的C的位点(site)、或其他位点,从而改善(改性)含硅氧膜404的特性。由此,形成氧化硅(SiO)膜405。需要说明的是,这里,第二等离子体由于在线圈状的第二电极344处生成,因此成为电感耦合性等离子体。对于电感耦合性等离子体而言,由于能够与电极和晶片200的距离无关地产生等离子体,因此通过调节晶片200和电极的位置,能够使向晶片200供给的离子成分的量比通过上述电容耦合性等离子体所供给的离子成分量更少。
以规定时间生成等离子体、处理之后,停止向第二电极344进行的电力的供给及第三气体的供给,将第二处理室201b内的气氛排气。需要说明的是,在将第二处理室201b排气时,可进行与上述第一吹扫工序S204同样的吹扫。需要说明的是,这里的排气可以与从第一排气口221的排气并用。通过也从第一排气口221进行排气,能够缩短排气时间。
(搬送压力调节工序S208)
等离子体生成工序S305的后,在搬送压力调节工序S208中,以使第二处理室201b内、移载室203成为规定的压力(真空度)的方式,经由第二排气口1481排气。需要说明的是,可构成为在该搬送压力调节工序S208之间、之前、之后,以使晶片200的温度冷却至规定的温度的方式通过提升销207进行保持。需要说明的是,这里的排气可以与从第一排气口221的排气并用。通过也从第一排气口221进行排气,能够缩短排气时间。
(衬底搬出工序S209)
在搬送压力调节工序S208中第二处理室201b内成为规定压力之后,打开闸阀1490,将晶片200从移载室203向未图示的真空搬送室搬出。
在本实施例中,在第一处理时作为第一气体使用氨基硅烷、作为第二气体使用DS从而将含Si膜403成膜,在第二处理时作为第三气体使用O2对含Si膜403进行氧化处理从而形成含硅氧膜404,在第三处理时作为第三气体使用O2对含硅氧膜404进行精制处理,但不限于此。例如,在将TiN膜成膜时,作为第一气体可使用TiCl4、作为第二气体可使用NH3、作为第三气体可使用NH3。另外,在将SiN膜成膜时,作为第一气体可使用氨基硅烷、作为第二气体可使用NH3、作为第三气体可使用NH3。另外,在将HfO膜成膜时,作为第一气体可使用HfCl4或TEMAH、作为第二气体可使用O2、作为第三气体可使用O2
另外,进行精制处理时,除了第三气体以外,还可供给第四气体。例如,在第三气体中使用作为含氧气体的O2气体、在第四气体中使用作为含氢气体的H2气体。像这样,通过添加含氢气体,能够一边除去规定的膜中存在的杂质(Cl、C、N)等,一边补给构成膜的元素。此时,例如,能够一边除去Cl、一边补给氧元素。具体而言,在第三气体中使用O2气体、在第四气体中使用H2气体。
另外,关于第一处理时生成的活性种密度和第二处理时生成的活性种密度,可以使第一处理<第二处理。即,可以使第二处理时生成的活性种密度比所述第一处理时生成的活性种密度高。
另外,第一处理时由于是循环(cyclic)处理,因此需要减小第一处理室201a的容积
关于第一处理(成膜处理)时和第二处理(氧化处理)时的气体排气部,使用第一排气口221、而关于第三处理(精制处理)时的气体排气流路使用第二排气口1481。
第一处理室201a在第一处理(成膜处理)时使用、第二处理室201b在第一处理室的下侧空间设置、并在第三处理(精制处理)时使用。
另外,第三处理时,晶片200的上表面下降至比第二电极344更靠下侧、且晶片200的侧面下降至与第二电极344的石英构件345相对的位置从而进行处理。通过使晶片200的上表面位于比第二电极344更靠下侧的位置,能够减少第二电极344处生成的活性种之中、到达晶片200的离子成分的量。另外,通过使晶片200的侧面成为与第二电极344的石英构件345相对的位置,能够在衬底载置台212和石英构件345之间形成气体排气通路355,并且能够从衬底载置台212的周围排出精制气体,能够提高精制的处理均匀性。
以上,具体说明了本发明的一实施方式,但本发明不限于上述实施方式,在不脱离其要旨的范围内可进行各种变更。以下,使用图9说明本发明的其他方式。
<其他实施方式>
关于含碳膜402,形成膜的碳在处理中脱离。上述脱离量与温度成比例,因此,优选在低温下形成含Si膜403。然而,设为低温时,存在含Si膜403的成膜速度降低的问题。因此,为了抑制脱离并提高成膜速度,如图9所示那样的存在改变第一处理工序S301中的晶片200的温度的方法。如图9所示,将第一处理工序分为2个Step(步骤),将晶片200的表面层401和含碳膜402的表面被覆盖为止作为Step1、于抑制脱离的温度进行成膜,然后,进行提高了温度的Step2。需要说明的是,在Step1和Step2各自中,分别进行图8所示的气体供给顺序。由此,能够抑制碳从含碳膜402脱离、并能够抑制含Si膜的成膜速度的降低。需要说明的是,也可将温度的改变过程中作为Step2的一部分,进行第一处理气体和第二处理气体的供给。
另外,在上文中,对交替供给第一气体和第二气体从而成膜的方法进行了说明,但也可应用其他方法。例如,第一气体和第二气体的供给定时重叠的方法。具体而言,包括CVD(Chemical Vapor Deposition)法、循环CVD法。通过使用CVD法,能够缩短衬底处理工序。
另外,在上文中,构成为以相同的衬底处理装置进行第一处理、第二处理、第三处理,但不限于此,也可构成为通过各自的衬底处理装置进行。
另外,在上文中,作为第一处理气体、使用了氨基硅烷气体,但不限于此,也可使用氯硅烷系气体。例如,包括DCS、HCDS、MCS等。通过使用硅烷系的气体,能够抑制含Si膜403中的碳混入。
另外,作为第一处理气体,也可以使用包含C的硅烷原料气体,例如,可使用具有Si-C键的硅烷原料气体。例如,作为第一的处理气体,可使用1,1,2,2-四氯-1,2-二甲基二硅烷((CH3)2Si2Cl4,简称TCDMDS)气体,双三氯硅烷甲烷((SiCl3)2CH2,简称:BTCSM)气体等。
另外,在上文中,构成为在相同的温度带进行第一处理、第二处理、第三处理,但不限于此,也可改变温度。例如,包括将第一处理时的温度T1、第二处理时的温度T2、第三处理时的温度T3设为以下关系。
I)T2<T1<T3
通过降低T2,能够抑制含Si膜403的Si的迁移、热腐蚀,能够避免最终形成的SiO膜405的表面粗糙度的劣化。
II)T1<T2<T3
通过将T2设定为比T1高的温度并且比T3低的温度,能够提高含Si膜403中所含的碳的除去效率。
另外,在上文中,对成膜处理进行了记载,但也可适用其他处理。例如,有适用等离子体的扩散处理、氧化处理、氮化处理、氧氮化处理、还原处理、氧化还原处理、蚀刻处理、加热处理等。例如,在仅使用反应气体对衬底表面、形成于衬底的膜进行等离子体氧化处理、等离子体氮化处理时,也可以应用本公开。另外,也可以适用于仅使用了反应气体的等离子体退火处理。也可以将上述处理作为第一处理、之后进行上述第二处理。
另外,在上文中,对半导体器件的制造工序进行了记载,但实施方式涉及的发明还可以适用于除半导体器件的制造工序以外的工序。例如,有液晶装置的制造工序、太阳能电池的制造工序、发光器件的制造工序、玻璃衬底的处理工序、陶瓷衬底的处理工序、导电性衬底的处理工序等衬底处理。
另外,在上文中,示出了形成氧化硅膜的例子,但还可适用于使用其他气体的成膜。例如,有含氧膜、含氮膜、含碳膜、含硼膜、含金属膜和含有多种上述元素的膜等。需要说明的是,作为这些膜,例如,有AlO膜、ZrO膜、HfO膜、HfAlO膜、ZrAlO膜、SiC膜、SiCN膜、SiBN膜、TiN膜、TiC膜、TiAlC膜等。
另外,在上文中,示出了在一个处理室对一片衬底进行处理的装置构成,但不限于此,也可以是在水平方向或垂直方向并列多片衬底的装置。

Claims (8)

1.一种半导体器件的制造方法,具有:
将形成有多个凸状含碳膜的衬底收容在处理室内的工序,
向所述衬底供给含硅气体,在所述凸状含碳膜的表面和所述衬底的表面形成含硅膜的工序,
向所述衬底供给进行了第一等离子体化的含氧气体从而形成含硅氧和杂质的膜的工序,和
在形成所述含硅氧和杂质的膜后,供给进行了第二等离子体化的所述含氧气体从而形成氧化硅膜的工序,
在形成所述含硅膜的工序中具有:
第一工序,以比所述含碳膜中的碳发生脱离的温度低的第一温度供给所述含硅气体;
第二工序,在所述第一工序之后,以比第一温度高的第二温度供给所述含硅气体。
2.如权利要求1所述的半导体器件的制造方法,所述第二等离子体为比所述第一等离子体的离子成分少的等离子体。
3.如权利要求1所述的半导体器件的制造方法,所述第一等离子体为电容耦合性等离子体,所述第二等离子体为电感耦合性等离子体。
4.如权利要求1所述的半导体器件的制造方法,
所述含硅膜的形成工序和所述含硅氧和杂质的膜的形成工序在第一处理室进行,
所述含硅氧和杂质的膜的形成工序后,进行将所述衬底移动至与所述第一处理室连通的第二处理室来形成所述氧化硅膜的工序。
5.一种衬底处理装置,具有:
处理室,收容形成有多个凸状含碳膜的衬底,
第一气体供给部,向所述衬底供给含硅气体,
第二气体供给部,向所述衬底供给含氧气体,
第一电极,将所述含氧气体进行第一等离子体化,
第二电极,将所述含氧气体进行第二等离子体化,
高频电源部,向所述第一电极和所述第二电极供给电力,
在所述处理室内加热所述衬底的加热部,和
控制部,所述控制部以下述方式控制所述第一气体供给部、所述第二气体供给部、所述高频电源部和所述加热部,所述方式为:
在进行以比所述含碳膜中的碳发生脱离的温度低的第一温度向所述衬底供给含硅气体的第一处理和在所述第一处理之后以比所述第一温度高的第二温度供给所述含硅气体的第二处理从而所述凸状含碳膜的表面和所述衬底的表面形成含硅膜后,向所述衬底供给进行了所述第一等离子体化的所述含氧气体从而形成含硅氧和杂质的膜,
在形成所述含硅氧和杂质的膜后,向所述衬底供给进行了所述第二等离子体化的所述含氧气体从而形成氧化硅膜。
6.如权利要求5所述的衬底处理装置,所述控制部以使所述第二等离子体成为比所述第一等离子体的离子成分少的等离子体的方式,控制所述高频电源部。
7.如权利要求5所述的衬底处理装置,所述第一电极具有生成电容耦合性等离子体作为所述第一等离子体的电极结构,所述第二电极具有生成电感耦合性等离子体作为所述第二等离子体的电极结构。
8.如权利要求5所述的衬底处理装置,所述第一电极在与衬底支承部相对的位置构成为板状,所述衬底支承部支承所述衬底,所述第二电极构成为将第二处理室包围的线圈形状,所述第二处理室设于所述处理室的下方。
CN201611237030.XA 2016-09-26 2016-12-28 半导体器件的制造方法及衬底处理装置 Active CN107871652B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016-186956 2016-09-26
JP2016186956A JP6456893B2 (ja) 2016-09-26 2016-09-26 半導体装置の製造方法、記録媒体および基板処理装置

Publications (2)

Publication Number Publication Date
CN107871652A CN107871652A (zh) 2018-04-03
CN107871652B true CN107871652B (zh) 2021-09-14

Family

ID=61629820

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201611237030.XA Active CN107871652B (zh) 2016-09-26 2016-12-28 半导体器件的制造方法及衬底处理装置

Country Status (5)

Country Link
US (1) US9929005B1 (zh)
JP (1) JP6456893B2 (zh)
KR (1) KR101965145B1 (zh)
CN (1) CN107871652B (zh)
TW (1) TWI647745B (zh)

Families Citing this family (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6869111B2 (ja) * 2017-06-06 2021-05-12 東京エレクトロン株式会社 基板受け渡し方法及び基板処理装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) * 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US20190368040A1 (en) * 2018-06-01 2019-12-05 Asm Ip Holding B.V. Infiltration apparatus and methods of infiltrating an infiltrateable material
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
WO2020054038A1 (ja) * 2018-09-13 2020-03-19 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、及びプログラム
JP6980624B2 (ja) * 2018-09-13 2021-12-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
WO2020188744A1 (ja) * 2019-03-19 2020-09-24 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
CN113597666A (zh) 2019-03-19 2021-11-02 株式会社国际电气 半导体装置的制造方法、基板处理装置和存储介质
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN110379701A (zh) * 2019-07-24 2019-10-25 沈阳拓荆科技有限公司 具有可调射频组件的晶圆支撑座
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN115280467A (zh) * 2019-11-08 2022-11-01 应用材料公司 减少材料表面粗糙度的方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7222946B2 (ja) * 2020-03-24 2023-02-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7328293B2 (ja) * 2021-09-27 2023-08-16 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理システム、およびプログラム
TWI837617B (zh) * 2022-03-16 2024-04-01 南韓商細美事有限公司 處理基板之設備及方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3757566B2 (ja) 1997-08-21 2006-03-22 ソニー株式会社 シリコン酸化膜の形成方法及び酸化膜成膜装置
ATE418158T1 (de) * 1999-08-17 2009-01-15 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung
JP3437832B2 (ja) 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
JP4083000B2 (ja) * 2002-12-12 2008-04-30 東京エレクトロン株式会社 絶縁膜の形成方法
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
JP2006279019A (ja) * 2005-03-03 2006-10-12 Sony Corp 薄膜の形成方法および半導体装置の製造方法
TWI396234B (zh) * 2006-02-28 2013-05-11 Tokyo Electron Ltd A plasma oxidation treatment method and a manufacturing method of a semiconductor device
JP2009021526A (ja) 2007-07-13 2009-01-29 Toshiba Corp 電力用半導体装置及びその製造方法
JP5374749B2 (ja) 2008-02-08 2013-12-25 東京エレクトロン株式会社 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
JP5275093B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
JP5490585B2 (ja) * 2009-05-29 2014-05-14 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9685320B2 (en) * 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5743488B2 (ja) * 2010-10-26 2015-07-01 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5829196B2 (ja) * 2011-10-28 2015-12-09 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法
JP6146874B2 (ja) * 2012-03-28 2017-06-14 株式会社日立国際電気 半導体デバイスの製造方法、基板処理方法、基板処理装置およびプログラム
CN105493248B (zh) * 2013-09-30 2018-04-10 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、衬底处理系统及记录介质
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
JP2015180768A (ja) * 2014-03-06 2015-10-15 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びに記録媒体
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6559430B2 (ja) * 2015-01-30 2019-08-14 東京エレクトロン株式会社 被処理体を処理する方法

Also Published As

Publication number Publication date
TWI647745B (zh) 2019-01-11
TW201822256A (zh) 2018-06-16
JP2018056173A (ja) 2018-04-05
US20180090310A1 (en) 2018-03-29
JP6456893B2 (ja) 2019-01-23
CN107871652A (zh) 2018-04-03
KR101965145B1 (ko) 2019-08-13
US9929005B1 (en) 2018-03-27
KR20180034167A (ko) 2018-04-04

Similar Documents

Publication Publication Date Title
CN107871652B (zh) 半导体器件的制造方法及衬底处理装置
US9171734B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US9070554B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
TWI671818B (zh) 半導體裝置的製造方法、基板處理裝置及程式
KR101939584B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR102122725B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP2017005016A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6332746B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
US20160177446A1 (en) Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Non-Transitory Computer-Readable Recording Medium
CN108400092B (zh) 衬底处理装置、半导体器件的制造方法及记录介质
TWI801963B (zh) 基板處理裝置、半導體裝置的製造方法及電漿生成裝置
CN107293477B (zh) 半导体器件的制造方法、衬底处理装置
EP4117023A1 (en) Method of processing substrate, substrate processing apparatus, and recording medium
TW202315000A (zh) 基板處理裝置、電漿產生裝置、半導體裝置的製造方法及程式
KR20180120579A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right

Effective date of registration: 20181127

Address after: Tokyo, Japan, Japan

Applicant after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Applicant before: Hitachi Kunisai Electric Corp.

TA01 Transfer of patent application right
GR01 Patent grant
GR01 Patent grant