TWI801963B - 基板處理裝置、半導體裝置的製造方法及電漿生成裝置 - Google Patents

基板處理裝置、半導體裝置的製造方法及電漿生成裝置 Download PDF

Info

Publication number
TWI801963B
TWI801963B TW110129788A TW110129788A TWI801963B TW I801963 B TWI801963 B TW I801963B TW 110129788 A TW110129788 A TW 110129788A TW 110129788 A TW110129788 A TW 110129788A TW I801963 B TWI801963 B TW I801963B
Authority
TW
Taiwan
Prior art keywords
gas
coil
substrate
plasma
processing
Prior art date
Application number
TW110129788A
Other languages
English (en)
Other versions
TW202214046A (zh
Inventor
竹田剛
原大介
Original Assignee
日商國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商國際電氣股份有限公司 filed Critical 日商國際電氣股份有限公司
Publication of TW202214046A publication Critical patent/TW202214046A/zh
Application granted granted Critical
Publication of TWI801963B publication Critical patent/TWI801963B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供一種構成,其具備:處理室,用於處理基板;氣體供給系統,對處理室內供給處理氣體;電漿生成部,構成為以突出到處理室內部的方式設置,且具有線圈和絕緣構件,並且在處理室內生成處理氣體之電漿;及調整機構,能夠調整線圈與絕緣構件之間隙距離。

Description

基板處理裝置、半導體裝置的製造方法及電漿生成裝置
本揭示關於基板處理裝置、半導體裝置的製造方法及電漿生成裝置。
以大型積體電路(Large Scale Integrated Circuit)、DRAM(Dynamic Random AccessMemory)、Flash Memory (快閃記憶體)等作為代表的半導體裝置,隨著高集成化,電路圖案之微細化亦被進行著。在半導體裝置的製造工程中,作為實現微細化的處理,而進行使用電漿的處理(參照例如專利文獻1)。 先前技術文獻 專利文獻
專利文獻1:日本特開2015-092533號公報
發明所欲解決的課題
在半導體裝置的製造工程中,對半導體基板(以下,有時簡單稱為「基板」)供給預定的氣體而進行製程處理,要求對該基板之面內均勻地進行處理。但是,由於小型化導致基板表面積的增加,活化氣體可能無法均勻地供應到基板面內。在這種情況下,可能難以在基板表面上形成均勻的膜。
本揭示提供一種能夠在基板面內形成均勻的膜的技術。 用於解決課題的手段
根據本揭示的一態樣提供具備以下的構成: 處理室,用於處理基板; 氣體供給系統,對前述處理室內供給處理氣體; 電漿生成部,構成為以突出到前述處理室內部的方式設置,且具有線圈和絕緣構件,並且在前述處理室內生成前述處理氣體之電漿;及 調整機構,能夠調整前述線圈與前述絕緣構件之間隙距離。 發明效果
根據本揭示的技術,能夠在基板面內形成均勻的膜。
以下說明本揭示的實施形態。
<第一實施形態> 以下,參照圖面說明本揭示的第一實施形態。
(1)基板處理裝置之構成 首先,對本揭示的第一實施形態的基板處理裝置100之構成進行說明。基板處理裝置100例如是絕緣膜形成單元,如圖1所示,構成為枚葉式基板處理裝置。
(處理容器) 如圖1所示,基板處理裝置100具備處理容器202。處理容器202構成為例如水平截面為圓形的扁平密閉容器。此外,處理容器202例如由鋁(Al)或不銹鋼(SUS)等金屬材料、或石英或氧化鋁等絕緣構件構成。在處理容器202內形成對作為基板之矽晶圓等晶圓200進行處理的處理室201,及位於其下方的移載室203。處理容器202主要由蓋231、上部容器202a、下部容器202b、及設置在上部容器202a與下部容器202b之間的間隔板204構成。又,將由蓋231與上部容器202a與間隔板204與後述之第二氣體分散板單元235b與後述之電漿單元270a包圍的空間稱為處理室201,將被下部容器202b包圍的空間稱為移載室203。
在處理容器202之外側配置有接地的圓筒形狀之遮蔽板280,以便遮蔽來自後述加熱器213之輻射熱或從後述線圈253a放射的電磁波等。
在下部容器202b之側表面設置有與閘閥1490相隣的基板搬出入口1480,晶圓200經由基板搬出入口1480在與未圖示的搬送室之間移動。在下部容器202b之底部設置有多個升降銷207。此外,下部容器202b被接地。
在處理室201設置有支撐晶圓200的基板支撐部210。基板支撐部210主要具有:載置晶圓200的基板載置面211;以基板載置面211為表面的基板載置台212;內置於基板載置台212中的作為加熱部之加熱器213;及同樣內置於基板載置台212中的承受器電極256。在基板載置台212,在與升降銷207對應的位置分別設置有供升降銷207貫穿的貫穿孔214。
承受器電極256構成為連接有偏壓調整器257,可以調整承受器電極256之電位。偏壓調整器257構成為藉由後述控制器260來調整承受器電極256之電位。
基板載置台212由軸217進行支撐。軸217貫穿下部容器202b之底部,並且進一步連接到下部容器202b之外部的升降機構218。藉由作動升降機構218使軸217及基板載置台212升降,可以使載置於基板載置面211上的晶圓200升降。又,軸217下端部之周圍被波紋管219覆蓋,以保持處理室201之氣密性。 基板載置台212,在晶圓200之搬送時,係下降到圖1中虛線所示晶圓移載位置,在晶圓200之處理時係上升到圖1所示的處理位置(晶圓處理位置)。具體而言,在使基板載置台212下降至晶圓移載位置時,升降銷207之上端部通過貫穿孔214並從基板載置面211之上表面突出,升降銷207從下方支撐晶圓200。此外,欲使基板載置台212上升至晶圓處理位置時,升降銷207從基板載置面211之上表面埋入,基板載置面211從下方支撐晶圓200。又,由於升降銷207直接接觸到晶圓200,因此優選例如由石英或氧化鋁或碳化矽等材質形成者。
(排氣系統) 在下部容器202b之側部設置有對處理室201及移載室203之氛圍進行排氣的排氣口221。排氣管224連接到排氣口221,排氣管224中依序串聯連接有將處理室201控制為預定壓力的APC(Auto Pressure Controller)等壓力調整器227與真空泵223。
(氣體導入口) 在間隔板204之側部設置有對處理室201供給各種氣體的作為第一氣體供給部的第一氣體導入口241a。此外,在處理室201之上部設置有對處理室201供給各種氣體的作為第二氣體供給部的第二氣體導入口241b。
(氣體供給系統) 第一氣體導入口241a連接有第一氣體供給管150a。在第一氣體供給管150a中連接有第一處理氣體供給管113與淨化氣體供給管133a,可以供給後述之第一處理氣體與淨化氣體。第二氣體導入口241b連接有第二氣體供給管150b。第二氣體供給管150b中連接有第二處理氣體供給管123與淨化氣體供給管133b,可以供給後述之第二處理氣體與淨化氣體。
(第一處理氣體供給系統) 在第一處理氣體供給管113上設置有質量流量控制器(MFC)115及閥116,藉由彼等構成第一處理氣體供給系統。又,第一處理氣體供給系統可以是包含第一處理氣體源的構成。此外,當處理氣體之原料為液體、固體之情況下可以設置氣化器。
(第二處理氣體供給系統) 在第二處理氣體供給管123上設置有MFC125及閥126,藉由彼等來構成第二處理氣體供給系統。又,第二處理氣體供給系統可以是包含第二處理氣體源的構成。
(淨化氣體供給系統) 在淨化氣體供給管133a上設置有MFC135a及閥136a,藉由彼等來構成一個淨化氣體供給系統。此外,在淨化氣體供給管133b上設置有MFC135b及閥136b,藉由彼等來構成另一個淨化氣體供給系統。亦即,作為淨化氣體供給系統設置有由淨化氣體供給管133a與設置有MFC135a與閥136a構成的系統,及由淨化氣體供給管133b與設置有MFC135b與閥136b構成的系統之2個系統。又,淨化氣體供給系統可以是包含淨化氣體源的構成。
(氣體分散單元) 第一氣體導入口241a連接有作為分散氣體的機構之第一氣體分散單元235a。第一氣體分散單元235a具有由第一緩衝室232a和多個第一分散孔234a構成的環狀之形狀,並且與間隔板204隣接配置。從第一氣體導入口241a導入的第一處理氣體與淨化氣體,係被供給至第一氣體分散單元235a之第一緩衝室232a,並經由多個第一分散孔234a供給至處理室201。 同樣地,第二氣體導入口241b連接有作為分散氣體的機構之第二氣體分散單元235b。第二氣體分散單元235b具有由第二緩衝室232b與多個第二分散孔234b構成的環狀之形狀,且配置在蓋231與後述之電漿單元270a之間。從第二氣體導入口241b導入的第二處理氣體與淨化氣體,係被供給至第二氣體分散單元235b之第二緩衝室232b,並經由多個第二分散孔234b供給至處理室201。
(電漿單元) 在上部容器202a之上部配置有其中一部分突出到處理室201之內側的電漿單元(電漿生成部)270a。電漿單元270a構成為具有:固定在基座272上的絕緣構件271a;配置在絕緣構件271a之附近的線圈253a;以覆蓋線圈253a之上方側的方式配置的第一電磁波屏蔽254a及第二電磁波屏蔽255a;以樹脂材料等絕緣材料料將線圈253a之兩端予以固定並補強的補強構件(固定構件)258a;及固定在第一電磁波屏蔽254a上並且具有在旋轉的同時上下移動的軸的測微器(Micrometer)(使線圈253a上下移動的移動機構(移動部))259a。
絕緣構件271a,係由石英或氧化鋁等絕緣材料料形成,在處理室201之上部,以向該處理室201之內部突出的方式設置。以向該處理室201之內部突出的方式設置的絕緣構件271a之部分,係具有構成半球形狀或半長球形狀的曲面。又,絕緣構件271a之內外之氛圍係藉由真空密封被隔絕。又,絕緣構件271a之直徑小於處理室201之直徑。優選是亦小於基板載置台212之直徑。
線圈253a構成為由導電性之金屬管製成,並且具有在水平方向(圖中深度方向)延伸的螺旋形狀之部分。螺旋形狀形成為例如1.5圈的圓形,且其側部和底部設置為沿著絕緣構件271a之曲面。亦即,線圈253a之形狀形成為具有沿著絕緣構件271a之曲面的形狀之曲面及曲率。
又,線圈253a不限定於1.5圈之螺旋形狀,例如可以是具有0.5圈或2.5圈或3.5圈之螺旋形狀者。此外,可以是橢圓形之螺旋形狀,也可以是組合圓形與橢圓形的形狀。此外,絕緣構件271a之底部不限定於半圓球形狀,例如是半長球形狀亦可。彼等組合之例子如圖2所示。圖2(a)示出1.5圈圓形之線圈與半球狀之絕緣構件,圖2(b)示出1.5圈橢圓形之線圈與半球狀之絕緣構件,圖2(c)示出1圈橢圓形+0.5圈圓形之線圈與半球狀之絕緣構件,圖2(d)示出1.5圈圓形線圈之電極與半長球狀之絕緣構件,圖2(e)示出1.5圈橢圓形之線圈與半長球狀之絕緣構件,圖2(f)示出1圈橢圓形+0.5圈圓形之線圈與半長球狀之絕緣構件,圖2(g)示出0.5圈圓形之線圈與半球狀之絕緣構件,圖2(h)示出0.5圈橢圓形之線圈與半球狀之絕緣構件,圖2(i)示出0.5圈圓形線圈之電極與半長球狀之絕緣構件,圖2(j)示出0.5圈橢圓形之線圈與半長球狀之絕緣構件的組合。亦即,線圈253a只要是具有至少0.5圈以上之螺旋形狀者即可。又,圖2(g)~(j)之線圈形狀通常是簡單的U字形狀之線圈。
線圈253a中,其一端連接到整合器251及高頻電源252,另一端連接到接地部。接地部亦連接到第一電磁波屏蔽254a及第二電磁波屏蔽255a。來自高頻電源252之高頻電力,係被供給至連接到匹配器251的線圈253a之一端與線圈253a之另一端、第一電磁波屏蔽254a及第二電磁波屏蔽255a所連接的接地部之間。
第一電磁波屏蔽254a及第二電磁波屏蔽255a係由導電性之金屬板構成,形成為圓筒體或長方體之形狀。亦即,藉由具有第一電磁波屏蔽254a及第二電磁波屏蔽255a,電漿單元270a被由導電性之金屬板構成構成的圓筒體或長方體屏蔽。
根據以上構成之電漿單元270a,當處理氣體(特別是後述第二處理氣體的反應氣體)被供給至處理室201時,由線圈253a產生的交流磁場感應,生成感應結合電漿(Inductively Coupled Plasma,略稱:ICP)。亦即,電漿單元270a構成為在處理室201內生成處理氣體之電漿。設置為在電漿之生成時,電漿單元270a之一部分突出到處理室201之內側。因此,電漿與線圈253a產生的電磁場耦合(交叉)之比例(區域)增加,輸入電漿之高頻電力的效率增加。結果,電漿單元270a的電漿之生成效率可以提升。
又,當來自高頻電源252的高頻電力提供給電漿單元270a之線圈253a時,由於焦耳熱之產生,電阻值逐漸變高,試圖實現阻抗匹配的匹配器251可能變得不穩定。因此,藉由水或空氣等冷卻線圈253a以使彼等的電阻值成為恆定,而實現彼等溫度之穩定化亦可。
(調整機構) 電漿單元270a具有的測微器259a之軸係經由未圖示的軸承固定在補強構件(固定構件)258a。構成為藉由旋轉測微器259a使補強構件258a與線圈253a一體地在上下方向移動。藉此調整了線圈253a與絕緣構件271a之間隙距離273a。更詳細言之,藉由測微器259a之旋轉,線圈253a可以遠離絕緣構件271a而增大間隙距離273a,或者線圈253a可以接近絕緣構件271a而減小間隙距離273a。亦即,藉由測微器259a和補強構件258a構成能夠發揮調整線圈253a與絕緣構件271a之間隙距離273a的調整機構的功能。又,調整機構只要能夠調整線圈253a與絕緣構件271a之間隙距離273a者即可,調整機構可以不是具有測微器259a與補強構件258a的構成,而可以具有其他構成。又,藉由電漿單元(電漿生成部)270a和調整機構構成電漿生成裝置。於此,間隙距離273a意味著至少線圈253a之前端與絕緣構件271a之前端之間的距離。又,線圈253a與絕緣構件271a之間隙距離273a之調整,在後述成膜處理前、成膜處理中及成膜處理後之中至少任一時間進行即可。
在電漿單元270a中,線圈253a面對絕緣構件271a的表面積越大,電漿之生成效率越能夠提高。此外,由於絕緣構件271a具有半球形狀或半長球形狀之曲面,因此如果線圈253a亦具有沿著絕緣構件271a之曲面的形狀之曲面及曲率的話,電漿之生成效率能夠進一步提高。在這樣的情況下,電漿單元270a的電漿之生成效率可以根據線圈253a與絕緣構件271a之間隙距離273a而成為可變。
圖3係表示高頻電力之投入效率特性。在間隙距離273a為0~5mm之情況下(具體而言,在間隙距離(亦即Gap)為0mm、2.5mm、5mm之情況下),從相同的高頻電力的比較可以理解,其投入效率之差較大。例如間隙距離273a越短(越接近0mm)高頻電力之投入效率越能夠提升,在0mm之情況下可以獲得最大之投入效率。這樣的高頻電力之投入效率之提升可以實現高密度之電漿。另一方面,如果降低高頻電力之投入效率則可以實現低密度之電漿。
因此,藉由利用測微器259a來調整線圈253a與絕緣構件271a之間隙距離273a,可以控制電漿單元270a的電漿之生成效率,藉此,可以控制電漿之密度或擴散等。亦即,作為調整機構之測微器259a及補強構件258a,構成為可以調整線圈253a與絕緣構件271a之間隙距離273a,進而可以控制電漿之分布。
(控制部) 如圖1所示,基板處理裝置100具有對基板處理裝置100之各部之動作進行控制的控制器260。
控制器260之概略構成如圖4所示。作為控制部(控制手段)的控制器260,係由具備CPU(Central ProcessingUnit)260a、RAM(Random Access Memory)260b、記憶裝置260c、及I/O埠260d的電腦構成。構成為,RAM260b、記憶裝置260c、I/O埠260d係經由內部匯流排260e與CPU260a可以進行資料交換。構成為,控制器260可以與例如作為觸控面板等構成的輸出入裝置261或外部記憶裝置262、接收部285等連接。
記憶裝置260c例如由快閃記憶體、HDD (Hard Disk Drive)等構成。在記憶裝置260c內以可以讀出的方式儲存有控制基板處理裝置100之動作的控制程式、或記載有後述之基板處理之順序或條件等的製程配方、在設定晶圓200之處理中使用的製程配方的過程中產生的運算資料或處理資料等。又,製程配方係以使控制器260執行後述之基板處理工程中的各順序,且可以獲得預定結果的方式被組合者,作為程式而發揮功能。以下,有時將該程式配方或控制程式等統稱為程式。又,本說明書中使用程式的用語的情況下,可以僅包含單獨的程式配方、僅包含單獨的控制程式、或包含雙方。此外,RAM260b構成為暫時保持由CPU260a讀出的程式、運算資料、處理資料等資料的記憶體區域(工件區域)。
I/O埠260d連接到閘閥1490、升降機構218、加熱器213、壓力調整器227、真空泵223、匹配器251、高頻電源252、MFC115、125、135a、135b、閥116、126、136a、136b、偏壓調整器257等。
作為運算部之CPU260a,係構成為從記憶裝置260c讀出控制程式並執行,並且根據來自輸出入裝置261之操作指令之輸入等從記憶裝置260c讀出製程配方。此外,構成為對由接收部285輸入的設定值與記憶在記憶裝置260c的製程配方或控制資料進行比較・運算,而可以算出運算資料。此外,構成為可以由運算資料執行對應的處理資料(製程配方)之確定處理等。構成為,CPU260a根據讀出的製程配方之內容進行以下的動作控制:亦即對閘閥1490之開關動作、升降機構218之升降動作、對加熱器213之電力供給動作、壓力調整器227之壓力調整動作、真空泵223之開關動作、MFC115、125、135a、135b之氣體流量控制動作、閥116、126、136a、136b中的氣體之開關動作、匹配器251之電力之匹配控制、高頻電源252之電力控制、偏壓調整器257中對承受器電極256之電位控制。
又,控制器260不限定於専用電腦之構成,也可以是汎用電腦之構成。例如準備儲存有上述程式的外部記憶裝置(例如磁帶、軟碟或硬碟等磁碟、CD或DVD等光碟、MO等光磁碟、USB記憶體或記憶卡等半導體記憶體)262,使用該外部記憶裝置262將程式安裝在汎用電腦等,藉此來構成本實施形態的控制器260。又,對電腦提供程式的手段不限定於經由外部記憶裝置262供給之情況。例如使用接收部285或網路263(網際網路或専用線路)等通訊手段,不必經由外部記憶裝置262而供給程式亦可。又,記憶裝置260c或外部記憶裝置262構成為電腦可讀取的記錄媒體。以下,有時將彼等統稱為記錄媒體。又,本說明書中,使用記錄媒體的用語的情況下,有可能僅包含單獨的記憶裝置260c之情況下、或僅包含單獨的外部記憶裝置262之情況、或包含彼等雙方之情況。
(2)基板處理工程 接著,參照圖5及圖6說明使用上述基板處理裝置100進行半導體裝置(半導體部件)之製造工程之一工程,亦即在基板上形成絕緣膜,例如形成作為窒化膜之氮化矽(SiN)膜時之順序。又,在以下之說明中,構成基板處理裝置100的各部之動作係由控制器260進行控制。
在本說明書中使用「晶圓」的用語的情況下,有可能意味著晶圓本身,亦有可能意味著晶圓和其表面上所形成的處理之層或膜的層疊體。在本說明書中使用「晶圓之表面」的用語的情況下,有可能意味著晶圓本身之表面,亦有可能意味著晶圓上所形成的預定層等之表面。在本說明書中當記載「在晶圓上形成預定層」之情況下,有可能意味著在晶圓本身之表面上直接形成預定層,亦有可能意味著在晶圓上形成的層等之上形成預定層。在本說明書中使用「基板」的用語時亦和使用「晶圓」的用語時具有相同意義。
以下對在基板上進行成膜處理的基板處理工程之順序進行說明。
(基板搬入工程:S201) 進行成膜處理的時,首先,將晶圓200搬入處理室201。具體而言,藉由升降機構218下降基板支撐部210使升降銷207從貫穿孔214突出到基板支撐部210之上表面側的狀態。此外,將處理室201及移載室203調整為預定壓力之後,開啟閘閥1490,使用鑷子等搬送機構(未圖示)通過基板搬出入口1480將晶圓200載置於升降銷207上。晶圓200載置於升降銷207上之後,關閉閘閥1490,藉由升降機構218使基板支撐部210上升至預定位置,藉此,使晶圓200從升降銷207載置於基板支撐部210。
(第一調壓・調溫工程:S202) 接著,以使處理室201成為預定壓力的方式,開啟閥136a、136b,調節MFC135a、135b並按照預定流量供給N 2氣體,經由排氣口221對處理室201之氛圍進行排氣。此時,根據壓力感測器(未圖示)測量的壓力值,對壓力調整器227之閥之開度進行回饋控制。此外,根據溫度感測器(未圖示)檢測到的溫度值,以使處理室201成為預定溫度的方式對加熱器213之電力進行回饋控制。具體而言,藉由加熱器213事先加熱基板支撐部210,待晶圓200或基板支撐部210之溫度穩定之後放置恆定時間。在此期間,如果在處理室201內殘留有水分或存在從構件脫氣等之情況下,N 2氣體等之淨化可以有效地去除彼等。藉此而完成成膜製程前之準備。又,在將處理室201設定為預定壓力之前,可以進行一次真空排氣以達到可以達到的真空度。 此時之加熱器213之溫度,從待機時之溫度設定為100~600℃,優選為在150~500℃,更優選為在250~450℃之範圍內設定為恆定之溫度。 此外,以使晶圓200之電位成為預定電位的方式,藉由偏壓調整器257對承受器電極256施加電壓。
(成膜工程:S301) 晶圓200載置於基板支撐部210,且處理室201之氛圍穩定之後,接著,進行成膜工程S301。於此,使用圖5、圖6說明在晶圓200形成SiN膜之情況之例子,來說明成膜工程S301之詳細。在成膜工程S301中,進行以下說明的各工程S203~S207。
(第一處理氣體供給工程:S203) 在第一處理氣體供給工程S203中,從第一處理氣體供給系統向處理室201供給作為第一處理氣體(原料氣體)之二氯矽烷(SiH 2Cl 2、dichlorosilane:DCS)氣體。具體而言,開啟閥116,使從處理氣體供給源供給的第一處理氣體經由MFC115調整了流量之後,供給至基板處理裝置100。流量調整後的第一處理氣體,通過第一氣體分散單元235a之第一緩衝室232a從多個第一分散孔234a供給至減壓狀態之處理室201。此外,繼續進行排氣系統對處理室201之排氣,以使處理室201之壓力成為預定的壓力範圍(第一壓力)的方式對壓力調整器227進行控制。此時,在預定壓力(第一壓力:例如100Pa以上且10kPa以下)下向處理室201供給第一處理氣體。藉由這種方式,藉由第一處理氣體的供給在晶圓200上形成含矽層。於此之含矽層係指含有矽(Si)或矽和氯(Cl)的層。
(第一淨化工程:S204) 在第一淨化工程S204中,係在晶圓200上形成含矽層之後,關閉第一處理氣體供給管113之閥116,停止第一處理氣體之供給。繼續真空泵223之動作,並停止第一處理氣體之供給,藉此,藉由真空泵223對存在於處理室201的第一處理氣體或反應副生成物質等殘留氣體、殘留在第一緩衝室232a的處理氣體實施排氣而進行淨化。 於此,開啟淨化氣體供給系統之閥136a,調整MFC135a,藉由供給作為淨化氣體之N 2氣體,可以將第一緩衝室232a之殘留氣體排出,此外,基板上之第一處理氣體或反應副生成物質等殘留氣體之除去效率亦可以提高。此時,可以組合其他淨化氣體供給系統,或者也可以構成為交替進行淨化氣體之供給與停止。
經過預定時間後,關閉閥136a,停止淨化氣體之供給。又,也可以在開啟閥136a的狀態下繼續淨化氣體之供給。藉由繼續對第一緩衝室232a之淨化氣體之供給,可以抑制在其他工程中其他工程之處理氣體進入第一緩衝室232a。 此外,此時,供給至處理室201或第一緩衝室232a的淨化氣體之流量不必要是大流量,例如藉由供給與處理室201之容積相同程度之量,則在次一工程中可以進行不會產生不利影響的程度之淨化。藉由這種方式,不完全淨化處理室201,可以縮短淨化時間,可以提高製造生產量。此外,可以最大限度地減少淨化氣體之消耗。
此時之加熱器213之溫度設定為和對晶圓200供給第一處理氣體時同樣之溫度。從淨化氣體供給系統供給的淨化氣體之供給流量,例如設定為100~10000sccm之範圍內之流量。作為淨化氣體除N 2氣體以外亦可以使用Ar、He、Ne、Xe等稀有氣體,此外,也可以是彼等的組合。
(第二處理氣體供給工程:S205) 在第二處理氣體供給工程S205中,開啟第二處理氣體供給系統之閥126,經由第二氣體分散單元235b之第二緩衝室232b與多個第二分散孔234b,對減壓下之處理室201供給作為第二處理氣體(反應氣體)的氨(NH 3)氣體。此時,繼續排氣系統對處理室201之排氣並使第二處理氣體成為預定流量的方式調節MFC125(例如調節為100sccm以上且5000sccm以下),並且以使處理室201成為預定壓力的方式對壓力調整器227(第二壓力:例如1Pa以上且200Pa以下)進行控制。
此外,在第二處理氣體供給工程S205中,從高頻電源252經由匹配器251將高頻電力供給至電漿單元270a之線圈253a。在圖6中,係和第二處理氣體之供給同時開始高頻電力之供給,氮亦可以構成為在第二處理氣體之供給開始前進行供給,也可以在之後亦繼續供給。藉由高頻電力之供給,可以在晶圓200上生成第二處理氣體之電漿。
藉由使作為第二處理氣體(反應氣體)之NH 3氣體電漿化,來產生活化的NH 3氣體(NH 3氣體之電漿或自由基),可以將活化的NH 3氣體之活性種供給至晶圓200上之含矽層。供給的NH 3氣體之活性種與含矽層起反應而形成SiN膜。亦即,藉由將活化的NH 3氣體之活性種供給到含矽層,可以在低溫下實施含矽層的氮化處理。此外,當活化的NH 3氣體之活性種被供給至含矽層時,含矽層也被實施改質處理例如分子鍵缺陷的恢復或雜質的消除等。
此時,藉由測微器259a調整線圈253a與絕緣構件271a之間隙距離273a,使得處理室201之電漿分布處於期望狀態。具體而言,例如藉由測微器259a之旋轉將線圈253a與絕緣構件271a之間隙距離273a調整為最適當距離,使得例如處理室201之電漿分布在晶圓200上之水平方向上均勻化。最適當距離可以根據裝置規格或各種處理條件等適當地設定,並不限定於特定之值。
藉由這種方式來調整線圈253a與絕緣構件271a之間隙距離273a,可以實現處理室201之電漿分布之均勻化,因此活化的NH 3氣體之活性種可以均勻地供給到晶圓200之面內。因此,可以實現在晶圓200之面內均勻地形成SiN膜。
此外,處理室201之電漿分布亦受到供給至線圈253a的高頻電力的影響。因此,關於供給至線圈253a的高頻電力,例如優選調整並供給最優值使得處理室201之電漿分布在晶圓200上之水平方向上成為均勻。 於此,從高頻電源252供給至電漿單元270a之電力是100~1000W,優選為300~600W。如果小於100W時,則CCP模式之電漿占主導地位,因此活性種之生成量變為非常低。因此,晶圓之處理速度降得非常低。此外,如果大於600W時,電漿開始強烈濺射由石英材料製成的反應室之內壁,因此基板上之膜(SiO膜以外之膜)會被供給非期待性的Si或O等材料。
此外,電漿處理時間為60~600秒,優選為120~300秒。小於60秒時,無法形成充分的膜厚。此外,如果大於600秒,則由於基板面內或基板上之段差對膜之均勻性產生不利影響,進一步對基板造成損傷。
又,藉由偏壓調整器257來調整設置在基板載置台212內的承受器電極256之電位,可以控制向晶圓200供給的電漿荷電粒子之供給量。例如在晶圓200表面實施段差加工之情況下,藉由抑制電漿荷電粒子之供給量,來提升成膜之覆蓋率是有效的。 此外,例如藉由調整處理室201之壓力、基於MFC125的第二處理氣體之流量、基於加熱器213的晶圓200之溫度等,並根據該調整結果對含矽層進行預定分布、預定深度、預定氮氣組成比的氮化處理或改質處理。
從第二處理氣體供給工程S205之開始經過預定時間後,關閉第二處理氣體供給系統之閥126,停止第二處理氣體之供給。此時之加熱器213之溫度設定為和對晶圓200供給第一處理氣體時同樣之溫度。
(第二淨化工程:S206) 在第二淨化工程S206中,在晶圓200上形成含氮層之後,關閉第二處理氣體供給管123之閥126,停止第二處理氣體之供給。藉由繼續真空泵223之動作,並停止第二處理氣體之供給,將存在於處理室201的第二處理氣體或反應副生成物質等殘留氣體、殘留在第二緩衝室232b的處理氣體從從真空泵223實施排氣並進行淨化。 於此,藉由開啟淨化氣體供給系統之閥136b,調整MFC135b,並供給作為淨化氣體之N 2氣體,可以將第二緩衝室232b之殘留氣體排出,此外,基板上之第二處理氣體或反應副生成物質等殘留氣體之除去效率可以提高。此時,可以組合其他淨化氣體供給系統,並構成為交替進行淨化氣體之供給與停止。
經過預定時間後,關閉閥136b,停止淨化氣體之供給。又,在開啟閥136b的狀態下繼續淨化氣體之供給亦可。藉由繼續對第二緩衝室232b供給淨化氣體,在其他工程中可以抑制其他工程之處理氣體進入第二緩衝室232b。 此外,此時,供給至處理室201或第二緩衝室232b的淨化氣體之流量不必要是大流量,例如藉由供給與處理室201之容積相同程度之量,則在次一工程中可以進行不會產生不利影響的程度之淨化。藉由這種方式,不完全淨化處理室201,可以縮短淨化時間,可以提高製造生產量。此外,可以最大限度地減少淨化氣體之消耗。
此時之加熱器213之溫度設定為和對晶圓200供給第二處理氣體時同樣之溫度。從淨化氣體供給系統供給的淨化氣體之供給流量,例如設定為100~10000sccm之範圍內之流量。作為淨化氣體除N 2氣體以外亦可以使用Ar、He、Ne、Xe等稀有氣體,此外,也可以使用彼等的組合。
(判斷工程:S207) 在淨化工程S206之結束後,控制器260判斷上述成膜工程S301中的各工程S203~S206是否已被執行預定的循環數n。亦即,判斷在晶圓200上是否已形成期望之厚度之膜。以上述成膜工程S301之各工程S203~S206作為1個循環,並進行至少1次以上之該循環,可以在晶圓200上形成SiN膜。又,優選重複進行上述循環多次。藉此,可以在晶圓200上形成預定膜厚之SiN膜。
在判斷工程S207中,如果判斷成膜工程S301未被實施預定次數時(判斷為否時),重複進行成膜工程S301之循環。此外,如果判斷已實施預定次數時(判斷為是時),結束成膜工程S301。
(第二調壓・調溫工程:S208) 結束成膜工程S301後,以使處理室201成為預定壓力的方式,開啟閥136a、136b,調節MFC135a、135b並按照預定流量供給N 2氣體,根據預定的壓力感測器(未圖示)測量到的壓力值對壓力調整器227進行控制。此外,根據溫度感測器(未圖示)檢測到的溫度值,以使處理室201成為預定溫度的方式對加熱器213之電力進行控制。例如處理室201之壓力設定為和第一調壓・調溫工程S202之閘閥1490之開啟時相同的壓力,加熱器213之溫度設定為待機時之溫度。又,在相同溫度條件下連續處理次一晶圓200之情況下,可以維持加熱器213之溫度。
(基板搬出工程:S209) 接著,藉由升降機構218下降基板支撐部210,使升降銷207從貫穿孔214突出到基板支撐部210之上表面側,使晶圓200成為載置於升降銷207上的狀態。開啟閘閥1490,使用鑷子等搬送機構(未圖示)通過基板搬出入口1480將晶圓200搬送至移載室203外部,並關閉閘閥1490。
進行以上順序的基板處理工程,可以獲得在表面上形成有預定膜厚之SiN膜的晶圓200。
(3)本實施形態的效果 根據本實施形態,可以達成以下所示一個或多個效果。
(a)根據本實施形態,藉由調整線圈253a與絕緣構件271a之間隙距離273a,可以控制電漿單元270a在處理室201內生成的第二處理氣體之電漿之分布。因此例如藉由使處理室201之電漿分布成為均勻化的方式進行控制,可以將第二處理氣體之活性種均勻地供給到晶圓200之面內,藉此,可以在晶圓200之面內實施均勻的膜之形成。
(b)根據本實施形態,線圈253a具有0.5圈以上之螺旋形狀,絕緣構件271a具有向處理室201之內部突出而設置的半球形狀或半長球形狀。因此,可以藉由確保線圈253a面對絕緣構件271a的表面積來提升電漿之生成效率,並且藉由調整間隙距離273a可以確保電漿之分布控制。亦即,在控制電漿之分布上非常有用。
(c)根據本實施形態,線圈253a之形狀具有沿著絕緣構件271a之曲面的形狀之曲面和曲率。從這一點來看,可以藉由確保線圈253a面對絕緣構件271a的表面積來提升電漿之生成效率,並且藉由調整間隙距離273a可以確保電漿之分布控制。亦即,在控制電漿之分布上非常有用。
(d)根據本實施形態,電漿單元270a被由導電性金屬板構成的圓筒體或長方體屏蔽。因此,即使當向電漿單元270a供給高頻電力來產生電漿時,也可以抑制屏蔽內部與外部之間的影響。
(e)根據本實施形態,藉由使用測微器259a作為調整機構,可以按照微米(µm)單位(Micrometer unit)對間隙距離273a進行微調。藉此,使得電漿分布之微調整成為可能。
(f)根據本實施形態,可以在絕緣構件271a之位置固定的狀態下,調整線圈253a之位置。亦即,在不改變處理容器202內之氛圍之情況下可以調整間隙距離273a。於此,處理容器202內之氛圍意味著壓力、或氣體濃度等。亦即,在維持處理容器202內之壓力的狀態下可以調整間隙距離273a。
(g)根據本實施形態,可以在絕緣構件271a之位置固定的狀態下,調整線圈253a之位置。如此一來,不存在由於絕緣構件271a之移動引起的處理室201內之氣體之流動或氣體分子之移動,只能調整電漿分布對處理均勻性的影響,因此,實現基板處理之均勻性提升的處理條件之指定變為容易。
<第二實施形態> 接著,參照圖面說明本揭示的第二實施形態。
本揭示的第二實施形態之基板處理裝置100A與第一實施形態之基板處理裝置100之差異在於電漿單元之構成不同。其他構成係和第一實施形態之基板處理裝置100同樣,因此以下針對電漿單元進行說明。
如圖7所示,基板處理裝置100A具備配置在上部容器202a之上部,而且,其中一部分突出到處理室201之內側的2台電漿單元270a、270b。各個電漿單元270a、270b分別構成為具有:固定在蓋231的絕緣構件271a、271b;配置在絕緣構件271a、271b之附近的線圈253a、253b;以覆蓋線圈253a、253b之上方側的方式而配置的第一電磁波屏蔽254a、254b及第二電磁波屏蔽255a、255b;藉由樹脂材料等絕緣材料料將各個線圈253a、253b之兩端予以固定並補強的補強構件(固定構件)258a、258b;及固定在第一電磁波屏蔽254a、254b並且具有在旋轉的同時上下移動的軸的測微器259a、259b。
絕緣構件271a、271b都是由石英或氧化鋁等絕緣材料料形成,在處理室201之上部,以向該處理室201之內部突出的方式設置。以向處理室201之內側突出的方式而配置的各絕緣構件271a、271b之部分,係具有構成為半球形狀或半長球形狀的曲面。又,絕緣構件271a、271b之內部與外部之氛圍係藉由真空密封隔絕。
線圈253a、253b都是由導電性之金屬管製成,構成為具有向水平方向(圖中深度方向)延伸的螺旋形狀之部分。螺旋形狀形成為例如1.5圈的圓形,其側部與底部沿著絕緣構件271a、271b之曲面而設置。亦即,線圈253a、253b之形狀,係形成為具有沿著絕緣構件271a、271b之曲面的形狀之曲面及曲率。又,線圈253a、253b不限定於1.5圈之螺旋形狀,只要具有至少0.5圈以上之螺旋形狀者即可,和第一實施形態之情況同樣。
各線圈253a、253b,其一端連接到匹配器251及高頻電源252,另一端連接到接地部。接地部亦連接到第一電磁波屏蔽254a、254b及第二電磁波屏蔽255a、255b。來自高頻電源252之高頻電力係被供給至連接到匹配器251的線圈253a、253b之一端與線圈253a、253b之另一端和第一電磁波屏蔽254a、254b及第二電磁波屏蔽255a、255b所連接的接地部之間。
第一電磁波屏蔽254a、254b及第二電磁波屏蔽255a、255b都是由導電性之金屬板構成,形成為圓筒體或長方體之形狀。亦即,藉由具有第一電磁波屏蔽254a、254b及第二電磁波屏蔽255a、255b,使各個電漿單元270a、270b被由導電性之金屬板構成的圓筒體或長方體屏蔽。
藉由以上構成之電漿單元270a、270b,當處理氣體(特別是,作為第二處理氣體的反應氣體)被供給至處理室201時,被線圈253a、253b產生的交流磁場感應,而生成感應耦合電漿(ICP)。亦即,電漿單元270a、270b分別構成為在處理室201內生成處理氣體之電漿。藉由這種方式,由各個電漿單元270a、270b來生成電漿的話,和僅有單一電漿單元270a之情況比較,可以大幅提高第二處理氣體(反應氣體)之活性種之生成量。
電漿單元270a、270b具有的各測微器259a、259b之軸,係分別經由未圖示的軸承固定在補強構件(固定構件)258a、258b。因此,構成為藉由旋轉測微器259a、259b,使補強構件258a、258b與線圈253a、253b彼等成為一體而在上下方向上移動。結果,各個線圈253a、253b與絕緣構件271a、21b之間隙距離273a、273b被個別調整。亦即,測微器259a、259b及補強構件258a、258b構成為,對線圈253a、253b與絕緣構件271a、271b之間隙距離273a、273b進行調整的調整機構而發揮功能。由於可以利用測微器259a、259b來調整間隙距離273a、273b,因此能夠控制電漿單元270a、270b的電漿之生成效率,結果,能夠控制電漿之密度或擴散等。又,測微器259a、259b和補強構件258a、258b不一定必須對應於各個電漿單元270a、270b設置,也可以僅設置在電漿單元270a、270b之其中一者。
如此一來,如果藉由旋轉測微器259a、259b可以調整間隙距離273a、273b的話,處理室201之電漿分布可以達成均勻化,因此活化的第二處理氣體(反應氣體)之活性種可以均勻地供給至晶圓200之面內。因此,可以實現在晶圓200之面內均勻地形成膜。 而且,如果在各個電漿單元270a、270b之每一個,藉由測微器259a、259b之旋轉都可以調整間隙距離273a、273b的話,和僅在其中一者能夠進行調整之情況比較,則在處理室201內的電漿分布之控制上能夠更進一步地進行精密控制。因此,在晶圓200之面內形成均勻的膜是非常有效的。
又,電漿單元之導入不限定於本實施形態,可以根據處理室201之電漿分布設置3台以上,可以是偏置的配置,或組合包含彼等的多種形態。
<第三實施形態> 接著,參照圖面說明本揭示的第三實施形態。
本揭示的第三實施形態之基板處理裝置100B,在裝置整體之硬體構成上係和第一實施形態之基板處理裝置100不同,電漿單元是被導入所謂垂直基板處理裝置中,而不是導入枚葉式基板處理裝置中。
如圖8所示,在基板處理裝置100B中追加導入可以垂直方向裝載多片晶圓200的晶舟(基板保持部、基板保持具)317;和抑制向反應室201之下部散熱的隔熱板318,此外,取代氣體分散單元而導入連接到連接到第一氣體供給管150a的氣體噴嘴349a,和連接到第二氣體供給管150b的氣體管349b。但是,氣體導入或氣體排氣之控制方法係和第一實施形態同樣,因此以下針對電漿單元進行說明。
在基板處理裝置100B中,在上部容器202a之側面以向處理室201之內側突出的方式配置有在上下方向(垂直方向)等間隔地熔接的半球形狀之絕緣構件271a、271b、271c、271d,由導電性之金屬管製成的0.5圈之半圓形之線圈253a、253b、253c、253d被插入到各個絕緣構件271a、271b、271c、271d之每一個中。來自高頻電源252之高頻電力,係被供給到與匹配器251並聯連接的線圈253a、253b、253c、253d之一端與線圈253a、253b、253c、253d之另一端所連接的接地部之間。
在這樣構成之基板處理裝置100B中,當對處理室201供給反應氣體時,由線圈253a、253b、253c、253d產生的交流磁場感應而生成ICP。此時之線圈253a、253b、253c、253d之至少1個以上,藉由作為上述調整機構之測微器及補強構件(固定構件)進行微調整距離絕緣構件271a、271b、271c、271d之距離,藉此,可以控制反應室201內之上下方向之電漿分布。
又,絕緣構件271或線圈253之形狀或個數不限定於上述形態,可以根據電漿分布進行各種組合。藉此,可以大幅提高反應氣體之活性種之生成量。
<其他實施形態> 以上,具體說明本揭示的第一實施形態、第二實施形態及第三實施形態,但本揭示不限定於上述各實施形態,在不脫離其要旨的範圍內可以進行各種變更。
在上述各實施形態中說明供給原料氣體之後供給反應氣體,交替供給彼等而進行成膜的方法,但是例如原料氣體與反應氣體之供給順序相反亦可,此外,亦可以利用原料氣體與反應氣體之供給時序重疊的方法。如這樣藉由變更處理氣體之供給方法,可以變化形成的膜之膜質或組成比。
此外,在上述各實施形態中示出使用含矽氣體的DCS氣體作為原料氣體,具備含氮氣體的NH 3氣體作為反應氣體來形成SiN膜的例子,也可應用於使用其他氣體的含氧或含碳成膜。具體而言,也可應用於在晶圓200上形成氧化矽膜(SiO膜)、碳化矽膜(SiC膜)、碳氧化矽膜(SiOC膜)、碳氮氧化矽膜(SiOCN膜)、氮氧化矽膜(SiON膜)等Si基氧化膜或Si基碳化膜。
原料氣體除了DCS氣體之外,例如可以使用一氯矽烷(SiH 3Cl,簡稱:MCS)氣體,三氯矽烷(SiHCl 3,簡稱:TCS)氣體,四氯矽烷即四氯化矽(SiCl 4,簡稱:STC)氣體、六氯乙矽烷(Si 2Cl 6,簡稱:HCDS)氣體、八氯丙矽烷(Si 3Cl 8,簡稱:OCTS)氣體等無機鹵矽烷原料氣體,或四二甲氨基矽烷(Si[N(CH 3) 2] 4,簡稱:4DMAS)氣體、三二甲氨基矽烷(Si[N(CH 3) 2] 3H,簡稱:3DMAS)氣體、雙二甲氨基矽烷(Si[N(CH 3) 2) 2] 2H 2,簡稱:BDMAS) 氣體、雙二乙氨基矽烷(Si[N(C 2H 5) 2] 2H 2,簡稱:BDEAS)氣體、Vista Charlie 丁基氨基矽烷(SiH 2[NH(C 4H 9)] 2,簡稱:BTBAS)氣體、二甲氨基矽烷(DMAS)氣體、二乙氨基矽烷(DEAS)氣體、二丙氨基矽烷(DPAS)氣體、二異丙基氨基矽烷(DIPAS)氣體、丁基氨基矽烷(BAS)氣體、六甲基二矽氮烷(HMDS)氣體等各種氨基矽烷原料氣體、或單甲基矽烷(Si(CH 3)H 3,簡稱:MMS)氣體、二甲基矽烷(Si(CH 3) 2H 2,簡稱:DMS)氣體、三甲基矽烷(Si(CH 3) 3H,簡稱:3MS)氣體、四甲基矽烷(Si(CH 3) 4,簡稱:4MS)氣體、1,4二矽雜丁烷(簡稱:1,4DSB)氣體等各種有機矽烷原料氣體、或甲矽烷(SiH4,簡稱:MS)氣體、乙矽烷(Si 2H 6,簡稱:DS)氣體、丙矽烷(Si 3H 8,簡稱:TS)氣體等無鹵無機矽烷原料。 又,氨基矽烷原料係指具有氨基的矽烷原料,此外,也可以是具有甲基或乙基或丁基等烷基的矽烷原料,係至少含有Si、氮(N)及碳(C)的原料。亦即,於此所謂氨基矽烷原料可以是有機系之原料,也可以是有機氨基矽烷原料。
反應氣體除了NH 3氣體之外,例如可以使用氮氣、二亞胺(N 2H 2)氣體、肼(N 2H 4)氣體、N 3H 8氣體等含氮氣體。 此外,其他含氮氣體亦可以使用胺類氣體。又,胺類氣體係指含有胺基的氣體,係至少含有碳(C)、氮(N)及氫(H)的氣體。胺類氣體含有乙胺、甲胺、丙胺、異丙胺、丁胺、異丁胺等胺。在此,胺是氨(NH 3)的氫原子被烷基等烴基取代的化合物的總稱。亦即,胺含有烷基等之烴基。由於胺類氣體不含矽(Si),因此可以說是不含矽的氣體。此外,由於不含矽和金屬,可以說是不含矽和金屬的氣體。作為胺類氣體例如可以優選使用三乙胺((C 2H 5) 3N,簡稱:TEA)、二乙胺((C 2H 5) 2NH,簡稱:DEA)、單乙胺(C 2H 5NH 2,簡稱:MEA)等乙胺類氣體、三甲胺((CH 3) 3N,簡稱:TMA)、二甲胺((CH 3) 2NH,簡稱:DMA)、一甲胺(CH 3NH 2,簡稱:MMA)等甲胺類氣體、三丙胺((C 3H 7) 3N,簡稱:TPA)、二丙胺((C 3H 7) 2NH,簡稱:DPA)、單丙胺(C 3H 7NH 2,簡稱:MPA)等丙胺類氣體、三異丙胺([(CH 3) 2CH] 3N,簡稱:TIPA)、二異丙胺([(CH 3) 2CH] 2NH,簡稱:DIPA)、單異丙胺((CH 3) 2CHNH 2,簡稱:MIPA)等異丙胺類氣體,三丁胺((C 4H 9) 3N,簡稱:TBA)、二丁胺((C 4H 9) 2NH,簡稱:DBA)、單丁胺(C 4H 9NH 2,簡稱:MBA)等丁胺類氣體、或三異丁胺([(CH 3) 2CHCH 2] 3N,簡稱:TIBA)、二異丁胺([(CH 3) 2CHCH 2] 2NH,簡稱:DIBA)、單異丁胺((CH 3) 2CHCH 2NH 2,簡稱:MIBA)等異丁胺類氣體。亦即,作為胺類氣體優選可以使用例如選自(C 2H 5) xNH 3-x、(CH 3) xNH 3-x、(C 3H 7) xNH 3-x、[(CH 3) 2CH] xNH 3-x、(C 4H 9) xNH 3-x、[(CH 3) 2CHCH 2] xNH 3-x(式中,x為1~3之整數)之中至少1種類之氣體。胺類氣體係在形成SiN膜或SiCN膜或SiOCN膜等時作為氮氣源(氮氣來源)發揮作用,並且亦作為碳氣源(碳氣來源)而發揮作用。藉由使用胺類氣體作為含氮氣體,可以向增加膜中碳成分的方向進行控制。 作為其他反應氣體,例如可以應用氧化劑(氧化氣體),亦即用作氧氣來源的含氧氣體。例如優選使用氧氣(O 2)、水蒸氣(H 2O氣體)、過氧化氮(N 2O)氣體、一氧化氮(NO)氣體、二氧化氮(NO 2)氣體、臭氧(O 3)氣體、過氧化氫(H 2O 2)氣體、水蒸氣(H 2O氣體)、一氧化碳(CO)氣體、二氧化碳(CO 2)氣體等含氧氣體。
當形成含有類金屬元素(Metalloid element)的類金屬膜或含有金屬元素的金屬類膜時,可以適當地應用本揭示。這些成膜處理的處理程序和處理條件可以與上述實施型態和變形例中所示的成膜處理的處理程序和處理條件相同。在這些情況下,也可以獲得與上述實施型態相同的效果。 此外,當在晶圓200上形成含有鈦(Ti)、鋯(Zr)、鉿(Hf)、鉭(Ta)、鈮(Nb)、鋁(Al)、鉬(Mo)、鎢(W)等金屬元素的金屬類氧化膜或金屬類氮化膜時,可以適當地應用本揭示。亦即,當在晶圓200上形成TiO膜、TiOC膜、TiOCN膜、TiON膜、TiN膜、TiCN膜、ZrO膜、ZrOC膜、ZrOCN膜、ZrON膜、ZrN膜、ZrCN膜、HfO膜、HfOC膜、HfOCN膜、HfON膜、HfN膜、HfCN膜、TaO膜、TaOC膜、TaOCN膜、TaON膜、TaN膜、TaCN膜、NbO膜、NbOC膜、NbOCN膜、NbON膜、NbN膜、NbCN膜、AlO膜、AlOC膜、AlOCN膜、AlON膜、AlN膜、AlCN膜、MoO膜、MoOC膜、MoOCN膜、MoON膜、MoN膜、MoCN膜、WO膜、WOC膜、WOCN膜、WON膜、WN膜、WCN膜等時,可以適當地應用本揭示。 在這些情況下,原料氣體例如可以使用四(二甲氨基)鈦(Ti[N(CH 3) 2] 4,簡稱:TDMAT)氣體、四(乙基甲基氨基)鉿(Hf[N(C 2H 5)(CH 3)] 4,簡稱:TEMAH)氣體、四(乙基甲基氨基)鋯(Zr[N(C 2H 5)(CH 3)] 4,簡稱:TEMAZ)氣體、三甲基鋁(Al(CH 3) 3,簡稱:TMA)氣體、四氯化鈦(TiCl 4)氣體、四氯化鉿(HfCl 4)氣體等。
此外,在上述各實施形態中對成膜處理進行說明,但亦可以適用在其他處理。例如可以適用在使用電漿的擴散處理、氧化處理、氮化處理、氧氮化處理、還原處理、氧化還原處理、蝕刻處理、加熱處理等。此外,僅使用反應氣體,對形成在基板表面或基板上的膜進行電漿氧化處理、或電漿氮化處理、或電漿改質處理時,亦可以適當地應用本揭示。此外,僅使用反應氣體的電漿退火處理時亦可以應用本揭示。
此外,在上述各實施形態中說明半導體裝置的製造工程,但本揭示亦適用在半導體裝置的製造工程以外。例如可以適用在液晶部件之製造工程、太陽電池之製造工程、發光部件之製造工程、玻璃基板之處理工程、陶瓷基板之處理工程、導電性基板之處理工程等基板處理。
此外,在上述各實施形態中示出在一個處理室處理一片基板的裝置構成,但不限定於此,也可以是將多片基板排列在水平方向或垂直方向的裝置。
成膜處理使用的配方優選是,根據處理內容個別準備,並經由電氣通訊線路或外部記憶裝置262儲存在記憶裝置260c內。當開始各種處理時,CPU260a根據處理內容從記憶裝置260c內儲存的多個配方之中,適當地選擇適合處理內容的配方。藉此,可以在1台基板處理裝置中以通用且可重複的方式形成各種膜類型、組成比、膜質和膜厚的薄膜。此外,可以減輕操作者的負擔,在避免操作失誤的同時,可以快速啟動各種處理。 上述配方不限於新創建的情況,並且例如可以藉由修改已經安裝在基板處理裝置中的現有配方來準備。當改變配方時,改變後的配方可以經由電信線路或記錄有該配方的記錄媒體安裝在基板處理裝置上。此外,可以操作在現有基板處理裝置中具備的輸出入裝置261以直接變更已經安裝在基板處理裝置中的現有配方。
200:晶圓(基板) 201:處理室 113:第一處理氣體供給管 123:第二處理氣體供給管 270a,270b:電漿單元(電漿生成部) 271a,271b,271c,271d:絕緣構件 253a,253b,253c,253d:線圈 254a,254b:第一電磁波屏蔽 255a,255b:第二電磁波屏蔽 258a,258b:補強構件(固定構件) 259a,259b:測微器(移動機構)
[圖1]本揭示第一實施形態的基板處理裝置的概略構成圖。 [圖2]本揭示第一實施形態的基板處理裝置的絕緣構件與線圈之組合態樣之示意圖。 [圖3]表示本揭示第一實施形態的基板處理裝置中的高頻電力之投入效率特性的曲線圖。 [圖4]本揭示第一實施形態的基板處理裝置的控制器之概略的構成圖。 [圖5]表示本揭示第一實施形態的基板處理工程的流程圖。 [圖6]本揭示第一實施形態的基板處理工程之順序例。 [圖7]本揭示第二實施形態的基板處理裝置的概略構成圖。 [圖8]本揭示第三實施形態的基板處理裝置的概略構成圖。
100:基板處理裝置
113:第一處理氣體供給管
115:質量流量控制器(MFC)
116:閥
123:第二處理氣體供給管
125:MFC
126:閥
133a:淨化氣體供給管
133b:淨化氣體供給管
135a:MFC
135b:MFC
136a:閥
136b:閥
150a:第一氣體供給管
150b:第二氣體供給管
200:晶圓(基板)
201:處理室
202:處理容器
202a:上部容器
202b:下部容器
203:移載室
204:間隔板
207:升降銷
210:基板支撐部
211:基板載置面
212:基板載置台
213:加熱器
214:貫穿孔
217:軸
218:升降機構
219:波紋管
221:排氣口
223:真空泵
224:排氣管
227:壓力調整器
231:蓋
232a:第一緩衝室
232b:第二緩衝室
234a:第一分散孔
234b:第二分散孔
235b:第二氣體分散板單元
235a:第一氣體分散單元
241a:第一氣體導入口
241b:第二氣體導入口
251:整合器
252:高頻電源
253a:線圈
254a:第一電磁波屏蔽
255a:第二電磁波屏蔽
256:承受器電極
257:偏壓調整器
258a:補強構件(固定構件)
259a:測微器(移動機構)
260:控制器
270a:電漿單元(電漿生成部)
271a:絕緣構件
272:基座
273a:間隙距離
280:遮蔽板
1480:基板搬出入口
1490:閘閥

Claims (18)

  1. 一種基板處理裝置,係具備:處理室,用於處理基板;氣體供給系統,其對前述處理室內供給處理氣體;電漿生成部,其構成為具備:具有向前述處理室的內部突出的曲面的絕緣構件,和沿著前述絕緣構件的曲面之形狀的線圈,並且在前述處理室內生成前述處理氣體之電漿;及調整機構,其能夠調整前述線圈與前述絕緣構件之間的間隙距離。
  2. 如請求項1之基板處理裝置,其中前述線圈具有0.5圈以上的螺旋形狀。
  3. 如請求項1之基板處理裝置,其中前述絕緣構件具有:以突出到前述處理室內部的方式而設置的半球形狀或半長球形狀。
  4. 如請求項1之基板處理裝置,其中前述線圈之形狀係具有:沿著前述絕緣構件之曲面的形狀之曲面及曲率。
  5. 如請求項1之基板處理裝置,其中前述電漿生成部係被由導電性之金屬板構成的圓筒體或長方體屏蔽。
  6. 如請求項1之基板處理裝置,其中前述調整機構具備使前述線圈上下移動的移動機構。
  7. 如請求項6之基板處理裝置,其中 前述移動機構是測微器,並且藉由該測微器之旋轉使前述線圈上下移動。
  8. 如請求項6之基板處理裝置,其中前述線圈被固定在固定構件,前述移動機構使前述固定構件上下移動。
  9. 如請求項1之基板處理裝置,其中前述電漿生成部設置在前述處理室之上部。
  10. 如請求項1之基板處理裝置,其中前述電漿生成部設置有多個。
  11. 如請求項1之基板處理裝置,其中具有:基板保持部,其可以裝載多片前述基板,在前述處理室之側面具備多個前述電漿生成部。
  12. 如請求項1之基板處理裝置,其中前述線圈之一端連接到匹配器和高頻電源,另一端連接到接地部。
  13. 如請求項1之基板處理裝置,其中前述線圈上方側係被屏蔽覆蓋。
  14. 如請求項13之基板處理裝置,其中前述屏蔽連接到接地部。
  15. 如請求項1之基板處理裝置,其中藉由前述調整機構來調整前述線圈與前述絕緣構件之間隙距離,藉此而使電漿之生成效率可變。
  16. 一種半導體裝置的製造方法,係具備以下工程: 將基板搬入基板處理裝置的處理室之工程,該基板處理裝置具備:前述處理室,用於處理基板;氣體供給系統,對前述處理室內供給處理氣體;電漿生成部,構成為具備:具有向前述處理室的內部突出的曲面的絕緣構件,和沿著前述絕緣構件的曲面之形狀的線圈,並且在前述處理室內生成前述處理氣體之電漿;及調整機構,能夠調整前述線圈與前述絕緣構件之間的間隙距離;及藉由前述電漿生成部在前述處理室內生成前述處理氣體之電漿的工程。
  17. 如請求項16之半導體裝置的製造方法,其中具備:藉由前述調整機構來調整前述線圈與前述絕緣構件之間隙距離的工程。
  18. 一種電漿生成裝置,係具備:電漿生成部,其構成為具備:具有向用於處理基板的處理室的內部突出的曲面的絕緣構件,和沿著前述絕緣構件的曲面之形狀的線圈,並且在前述處理室內生成處理氣體之電漿;及調整機構,其能夠調整前述線圈與前述絕緣構件之間的間隙距離。
TW110129788A 2020-09-11 2021-08-12 基板處理裝置、半導體裝置的製造方法及電漿生成裝置 TWI801963B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/JP2020/034472 WO2022054225A1 (ja) 2020-09-11 2020-09-11 基板処理装置、半導体装置の製造方法およびプラズマ生成装置
WOPCT/JP2020/034472 2020-09-11

Publications (2)

Publication Number Publication Date
TW202214046A TW202214046A (zh) 2022-04-01
TWI801963B true TWI801963B (zh) 2023-05-11

Family

ID=80631428

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110129788A TWI801963B (zh) 2020-09-11 2021-08-12 基板處理裝置、半導體裝置的製造方法及電漿生成裝置

Country Status (6)

Country Link
US (1) US20230197408A1 (zh)
JP (1) JP7411820B2 (zh)
KR (1) KR20230036153A (zh)
CN (1) CN115917712A (zh)
TW (1) TWI801963B (zh)
WO (1) WO2022054225A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11862482B2 (en) * 2021-03-11 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate bonding tool and methods of operation

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1050496A (ja) * 1996-07-31 1998-02-20 Kokusai Electric Co Ltd プラズマ処理装置
WO1998011764A1 (en) * 1996-09-13 1998-03-19 Aea Technology Plc Radio frequency plasma generator
JP2010258324A (ja) * 2009-04-28 2010-11-11 Tokyo Electron Ltd プラズマ処理装置
WO2011013458A1 (ja) * 2009-07-28 2011-02-03 シャープ株式会社 プラズマ処理装置、その使用方法およびプラズマ処理装置のクリーニング方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3138899B2 (ja) * 1994-03-04 2001-02-26 東京エレクトロン株式会社 プラズマ処理装置
JP5807084B2 (ja) 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1050496A (ja) * 1996-07-31 1998-02-20 Kokusai Electric Co Ltd プラズマ処理装置
WO1998011764A1 (en) * 1996-09-13 1998-03-19 Aea Technology Plc Radio frequency plasma generator
JP2010258324A (ja) * 2009-04-28 2010-11-11 Tokyo Electron Ltd プラズマ処理装置
WO2011013458A1 (ja) * 2009-07-28 2011-02-03 シャープ株式会社 プラズマ処理装置、その使用方法およびプラズマ処理装置のクリーニング方法

Also Published As

Publication number Publication date
KR20230036153A (ko) 2023-03-14
WO2022054225A1 (ja) 2022-03-17
JPWO2022054225A1 (zh) 2022-03-17
TW202214046A (zh) 2022-04-01
CN115917712A (zh) 2023-04-04
JP7411820B2 (ja) 2024-01-11
US20230197408A1 (en) 2023-06-22

Similar Documents

Publication Publication Date Title
TWI777069B (zh) 基板處理裝置、基板處理裝置之電極及半導體裝置之製造方法
US20160376699A1 (en) Substrate processing apparatus, and storage medium
US11664217B2 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
US12068136B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and plasma generator
US10774421B2 (en) Semiconductor device manufacturing method, substrate processing apparatus and recording medium
US20230287567A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
TWI801963B (zh) 基板處理裝置、半導體裝置的製造方法及電漿生成裝置
US20160284543A1 (en) Substrate processing apparatus, program and method of manufacturing semiconductor device
WO2022201242A1 (ja) 電極、基板処理装置、半導体装置の製造方法およびプログラム
WO2023047497A1 (ja) 基板処理装置、プラズマ生成装置、半導体装置の製造方法およびプログラム
TWI850808B (zh) 基板處理裝置、電漿生成裝置、半導體裝置之製造方法及程式
TWI798760B (zh) 基板處理裝置、半導體裝置之製造方法、基板保持具及程式
KR20180120579A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP7431210B2 (ja) 基板処理装置、プラズマ生成装置、半導体装置の製造方法、プラズマ生成方法及びプログラム
TWI785510B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
WO2023112387A1 (ja) 成膜方法、半導体装置の製造方法、成膜装置、およびプログラム
US20240105443A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing system, and recording medium
US20240096604A1 (en) Substrate processing apparatus, plasma generation apparatus, method of processing substrate, method of manufacturing semiconductor device, and recording medium
US20240047180A1 (en) Substrate processing apparatus, method of processing substrate, method of manufacturing semiconductor device and recording medium