CN108400092B - 衬底处理装置、半导体器件的制造方法及记录介质 - Google Patents

衬底处理装置、半导体器件的制造方法及记录介质 Download PDF

Info

Publication number
CN108400092B
CN108400092B CN201711083530.7A CN201711083530A CN108400092B CN 108400092 B CN108400092 B CN 108400092B CN 201711083530 A CN201711083530 A CN 201711083530A CN 108400092 B CN108400092 B CN 108400092B
Authority
CN
China
Prior art keywords
impedance
control unit
unit
substrate
impedance control
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711083530.7A
Other languages
English (en)
Other versions
CN108400092A (zh
Inventor
丰田一行
大桥直史
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
INTERNATIONAL ELECTRIC CO Ltd
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of CN108400092A publication Critical patent/CN108400092A/zh
Application granted granted Critical
Publication of CN108400092B publication Critical patent/CN108400092B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供一种能够提高使膜特性改变的处理后的衬底的特性的衬底处理装置、半导体器件的制造方法及记录介质。包括:收容衬底的处理容器;衬底支承部,在处理容器内支承衬底,具有支承电极;与衬底支承部相对的上部电极;第一阻抗控制部,其一端连接于上部电极;第二阻抗控制部,其一端连接于支承电极;处理气体供给部,向衬底供给处理气体;活化部,其在处理容器的外侧,经由绝缘部连接于电力供给部,使处理气体活化;以及第三阻抗控制部,其一端连接于绝缘部与活化部之间。

Description

衬底处理装置、半导体器件的制造方法及记录介质
技术领域
本发明涉及衬底处理装置、半导体器件的制造方法及记录介质。
背景技术
伴随大规模集成电路(Large ScaleIntegrated Circuit:以下记作LSI)、DRAM(Dynamic Random Access Memory)、Flash Memory等所代表的半导体器件的高集成化,电路图案、在制造过程中形成的结构物逐渐微细化。在半导体器件的制造工序中,作为实现微细化的处理,进行使用了等离子体的处理。例如有专利文献1记载的技术。
此外,在处理后进行改变膜特性的等离子体处理。例如有专利文献2记载的技术。
现有技术文献
专利文献
专利文献1:日本特开2015-092533
专利文献2:日本特开2012-193457
发明内容
发明要解决的问题
需要提高改变膜特性的处理后的衬底的特性。
因此本发明提供一种使改变膜特性的处理后的衬底的特性提高的技术。
用于解决问题的手段
根据一方案,提供如下技术,包括:收容衬底的处理容器;衬底支承部,在处理容器内支承衬底,具有支承电极;与衬底支承部相对的上部电极;第一阻抗控制部,其一端连接于上部电极;第二阻抗控制部,其一端连接于支承电极;处理气体供给部,向衬底供给处理气体;活化部,其在处理容器的外侧,经由绝缘部连接于电力供给部,使处理气体活化;以及第三阻抗控制部,其一端连接于绝缘部与活化部之间。
发明效果
根据本发明涉及的技术,能够在改变处理后的膜特性的等离子体处理中生成大量的活性种。
附图说明
图1为一实施方式涉及的衬底处理装置的概略构成图。
图2为一实施方式涉及的气体供给部的概略构成图。
图3为一实施方式涉及的衬底处理装置的控制器的概略构成图。
图4为表示一实施方式涉及的衬底处理工序的流程图。
图5为表示一实施方式涉及的等离子体生成例的图。
图6为表示一实施方式涉及的等离子体生成例的图。
图7为表示一实施方式涉及的等离子体生成例的图。
图8为一实施方式涉及的阻抗设定表格的例子。
图9为其他实施方式涉及的处理顺序例。
附图标记说明
100处理装置
200晶片(衬底)
201处理室
202处理容器
212衬底载置台
213加热器
262第一排气口
234电极
280控制器
具体实施方式
以下,说明本发明的实施方式。
<一实施方式>
以下,参照附图说明本发明的一实施方式。
(1)衬底处理装置的构成
首先,说明本发明的一实施方式涉及的衬底处理装置。
对本实施方式涉及的处理装置100进行说明。衬底处理装置100例如是绝缘膜形成单元,如图1所示,以单片式衬底处理装置的方式构成。
如图1所示,衬底处理装置100包括处理容器202。处理容器202构成为例如横截面为圆形、且扁平的密闭容器。另外,处理容器202由例如铝(Al)、不锈钢(SUS)等金属材料或石英构成。在处理容器202内,形成有对作为衬底的硅晶片等晶片200进行处理的处理空间201、搬送空间(移载室)203。处理容器202由上部容器202a、下部容器202b和等离子体生成容器202c构成。等离子体生成容器202c设于上部容器202a的上部。在上部容器202a与下部容器202b之间设置有分隔部204。将上部处理容器202a和等离子体生成容器202c所包围的空间、且为比分隔部204靠上方的空间称为处理空间201,将下部容器202b所包围的空间、且为比分隔部204靠下方的空间称为移载室203。
在下部容器202b的侧面设置有与闸阀1490邻接的衬底搬入搬出口1480,晶片200经由衬底搬入搬出口1480在搬送组件(未图示)与移载室203之间移动。在下部容器202b的底部设置有多个提升销207。而且,下部容器202b接地。
在处理室201内设置有支承晶片200的衬底支承部210。衬底支承部210主要具有:表面上具有载置面211的衬底载置台212、和作为加热部的加热器213,所述载置面211载置晶片200。在衬底载置台212上、在与提升销207对应的位置处分别设置供提升销207贯通的贯通孔214。此外,在衬底载置台212设有支承电极256。支承电极256与第二阻抗控制部257连接。通过调节第二阻抗控制部257的第二阻抗,由此能够调节晶片200、处理空间201的下方(晶片200侧)的电位。此外,第二阻抗控制部257的一端连接于任意的电位。例如,第二阻抗控制部257的一端连接于接地电位、不同于接地电位的电位的第二电位部272、以及共通电位部237中的任一个。需要说明的是,可以构成为在支承电极256与第二阻抗控制部257之间设置切换部264,将支承电极256与第二阻抗控制部257电气分离。
衬底载置台212通过轴217支承。轴217贯通处理容器202的底部,进而在处理容器202的外部与升降部218连接。通过使升降部218工作而使轴217及衬底载置台212升降,从而能够使载置于衬底载置面211上的晶片200升降。需要说明的是,轴217下端部的周围由波纹管219覆盖,处理空间201内保持气密。
对于衬底载置台212而言,在晶片200的搬送时,向图1的移载室203内的虚线所示的晶片移载位置移动,在晶片200的第一处理时,向图1的实线所示的第一处理位置(晶片处理位置)移动。需要说明的是,晶片移载位置为提升销207的上端从衬底载置面211的上表面突出的位置。
具体而言,在使衬底载置台212下降至晶片移载位置时,使得提升销207的上端部从衬底载置面211的上表面突出,从而使提升销207从下方支承晶片200。另外,在使衬底载置台212上升至晶片处理位置时,使得提升销207从衬底载置面211的上表面没入,从而使衬底载置面211从下方支承晶片200。需要说明的是,由于提升销207与晶片200直接接触,所以优选由例如高纯度石英、高纯度氧化铝等材质形成。
(排气系统)
在处理室201(上部容器202a)设置有对处理空间201的气氛进行排气的、作为第一排气部的排气口262。第一排气口262连接排气管224,排气管224依次串联连接将处理空间201内控制为规定的压力的APC(Auto Pressure Controller)等压力调节器227、真空泵223。主要由第一排气口262、排气管224、压力调节器227构成第一排气系统(排气管线)。需要说明的是,也可以构成为真空泵223包含于第一排气系统。此外,在移载室203的内壁侧面设置有对移载室203的气氛进行排气的第二排气口1481。在第二排气口1481连接排气管1482,在排气管1482依次串联连接将移载室203内控制为规定的压力的APC等压力调节器228、真空泵229。主要由第二排气口1482、排气管1482、压力调节器228构成第二排气系统(排气管线)。需要说明的是,也可以构成为真空泵229包含于第二排气系统。需要说明的是,泵229可以与上述的真空泵223同样地构成。
(气体导入口)
在处理室201的上部设置有用于向处理空间201内供给各种气体的气体导入口241。对与作为气体供给部的一个结构的气体导入口241连接的各气体供给单元的构成,在后面描述。
(活化部(等离子体生成部))
在等离子体生成容器202c的外侧设有作为活化部的电极234。电极234构成为可生成感应结合型的等离子体260。具体而言,为天线、且由线圈构成。需要说明的是,线圈长优选是不成为(λ/4)×n(λ:所供给的低频的波长,n:偶数)的长度形成。该长度是在线圈和处理空间201的至少任一方难以产生稳态波的长度。优选是比(λ/4)×n短的长度。通过这样构成,能够消除因等离子体的局部集中而产生的等离子体密度分布的不均一性。在电极234经由馈送线235连接绝缘部236。绝缘部236是用于与低频电源部252的基准电位(接地)绝缘的结构物。绝缘部236由例如绝缘变压器构成。在绝缘部236连接作为电力供给部的匹配器251和低频电源部252。构成为可经由低频电源部252、匹配器251和绝缘部236向电极234供给电磁波(低频电力)。由此,能够将供给到处理空间201内的气体活化(等离子体化)。活化部至少由电极部234构成。在此,低频电力是指0.1MHz以上2MHz以下的频率的电力。
在等离子体生成容器202c的上部设有上部电极(也能为顶部电极、盖部电极)231。上部电极231设置于与晶片200相对的位置,连接第一阻抗控制部258。通过调节第一阻抗控制部258的第一阻抗,能够调节上部电极231附近的等离子体状态。需要说明的是,第一阻抗控制部258的一端连接于任意的电位。例如,第一阻抗控制部258的一端连接于接地电位、不同于接地电位的电位的第一电位部271、共通电位部237的任一者。需要说明的是,可以构成为,在上部电极231与第一阻抗控制部258之间设置切换部265,将上部电极231和第一阻抗控制部258电气分离。
此外,在电极234与绝缘部236连接有第三阻抗控制部259。通过调节第三阻抗控制部259的第三阻抗,能够调节处理空间201内的等离子体状态。需要说明的是,第三阻抗控制部259的一端连接于任意的电位。例如,第三阻抗控制部259的一端连接于接地电位、不同于接地电位的电位的第三电位部273、及共通电位部237中的任一者。需要说明的是,可以构成为在第三阻抗控制部259与电极234和绝缘部236之间设置切换部266,将它们电气分离。需要说明的是,上述的第一电位部271、第二电位部272和第三电位部273可以构成为分别不同的电位,也可以构成为相同电位。
(气体供给部)
在气体导入口241连接有气体供给管150。从气体供给管150供给后述的第一气体、第二气体、吹扫气体。需要说明的是,处理气体供给部由气体导入口241和后述的第一气体供给部、第二气体供给部、非活性气体供给部中的任一种构成。
图2表示第一气体供给部、第二气体供给部、非活性气体供给部等的气体供给部的概略构成图。
如图2所示,在气体供给管150连接有第一气体(第一处理气体)供给管113a、第二气体(第二处理气体)供给管123a和非活性气体供给管133a。
(第一气体供给部)
在第一气体供给部设有第一气体供给管113a、质量流量控制器(MFC)115和阀116。需要说明的是,可以将连接于第一气体供给管113a的第一气体供给源113包含于第一气体供给部而构成。此外,在第一处理气体的原料为液体或固体时,可以设置气化器180a。需要说明的是,第一处理气体使用改质气体(也称为处理(treatment)气体)。改质气体例如为包含氮(N)、氧(O)、氢(H)、碳(C)、硼(B)中的至少任意的气体。具体而言,有氮(N2)气、一氧化氮(NO)气体、氮(NH3)气等。以下,记载作为第一处理气体而使用NH3气体的例子。
(第二气体供给部)
在第二气体供给部设有第二气体供给管123a、MFC125和阀126。需要说明的是,可以将连接于第二气体供给管123a的第二气体供给源123包含于第二气体供给部而构成。此外,在处理气体的原料为液体或固体时,可以设置气化器180b。需要说明的是,第二处理气体使用用于成膜的气体。例如为包含硅(Si)、铝(Al)、锆(Zr)、铪(Hf)、钛(Ti)、锗(Ge)中的至少任意的气体。例如具有氨基硅烷系的气体。作为氨基硅烷系气体,具体而言有以下所示。丁基氨基硅烷(BAS)气体、双叔丁基氨基硅烷(BTBAS)气体、二甲基氨基硅烷(DMAS)气体、双(二甲基氨基)硅烷(BDMAS)气体、三(二甲基氨基)硅烷(3DMAS)气体、二乙基氨基硅烷(DEAS)气体、双二乙基氨基硅烷(BDEAS)气体、二丙基氨基硅烷(DPAS)气体、二异丙基氨基硅烷(DIPAS)气体。
(非活性气体供给部)
在非活性气体供给部设有非活性气体供给管133a、MFC135和阀136。需要说明的是,可以将连接于非活性气体供给管133a的非活性气体供给源133包含于非活性气体供给部而构成。
(控制器)
接着,使用图3说明控制器280的详情。衬底处理装置100具有控制衬底处理装置100的各部的动作的控制器280。
图3示出控制器280的概略。作为控制部(控制手段)的控制器280构成为具备CPU(Central Processing Unit,中央处理单元)280a、RAM(Random Access Memory,随机存取存储器)280b、作为存储部的存储装置280c、I/O端口280d的计算机。RAM280b、存储装置280c、I/O端口280d构成为经由内部总线280e而能够与CPU280a进行处理数据、运算数据等数据交换。衬底处理装置100内的数据的收发通过也作为CPU280a的一个功能的收发指示部280f的指示来进行。
在控制器280可连接例如构成为触摸面板等的输入输出装置281、外部存储装置282。而且,设有经由网络与上位装置270连接的接收部283。
存储装置280c例如由闪存、HDD(Hard Disk Drive,硬盘驱动器)等构成。在存储装置280c内,可读取地保存有控制衬底处理装置100的动作的控制程序、记载了后述衬底处理的步骤、条件等的工艺制程、表格等。需要说明的是,工艺制程是以使控制器260执行后述的衬底处理工序的各步骤并能获得规定的结果的方式组合而成,工艺制程作为程序发挥功能。以下,也将该工艺制程、控制程序等统称而仅称为程序。需要说明的是,在本说明书中使用了程序这样的措辞的情况下,有时仅包含工艺制程本身,有时仅包含控制程序本身,或者有时包含上述两者。另外,RAM280b构成作为暂时保持由CPU280a读取的程序、运算数据,处理数据,等的存储区域(工作区)。
I/O端口280d与闸阀1490、升降部218、第一阻抗控制部258、第二阻抗控制部257、第三阻抗控制部259等、衬底处理装置100的各构成连接。
CPU280a被构成为:读取并执行来自存储装置280c的控制程序,并且与来自输入输出装置281的操作命令的输入等相应地、从存储装置280c读取工艺制程。并且,CPU280a被构成为:能够按照读取的工艺制程的内容,控制闸阀1490的开闭动作;升降部218的升降动作;各泵的开关控制;MFC的流量调节动作;各阀的开闭动作等。作为工艺制程,记录与各衬底对应的制程。例如,存储在衬底200上形成SiN膜的第一制程,存储在衬底200上形成SiO膜的第二制程。这些制程被构成为,当从上位装置等接收到处理各自的衬底的指示时,读出上述对应的制程。
需要说明的是,控制器280可以通用使用存储了上述程序的外部存储装置(例如磁带、软盘、硬盘等磁盘;CD、DVD等光盘;MO等光磁盘;USB存储器、存储卡等半导体存储器)282而向计算机安装程序等而构成本实施方式涉及的控制器280。需要说明的是,用于向计算机提供程序的手段不限于经由外部存储装置282提供的情况。例如也可以使用互联网、专用线路等通信手段,不经由外部存储装置282地提供程序。需要说明的是,存储装置280c、外部存储装置282构成为计算机可读取记录介质。以下,也将它们统称而仅称为记录介质。需要说明的是,在本说明书中,使用了记录介质这样的措辞的情况下,有时仅包含存储装置260c自身,有时仅包含外部存储装置262自身,或者有时包含上述两者。
(2)衬底处理工序
接着,对于作为半导体器件(半导体元器件)的制造工序的一工序而对形成于衬底(晶片200)上的绝缘膜进行改质的流程和顺序例进行说明。需要说明的是,在此作为绝缘膜,例如形成含硅层。含硅层例如是含有硅、氮、杂质的层。此外,该制造工序的一工序由上述的衬底处理装置进行。需要说明的是,在以下的说明中,由控制器280控制构成衬底处理装置的各部分的动作。
需要说明的是,在本说明书中,使用术语“衬底”的情形也与使用术语“晶片”的情形为相同的含义。这时,在上述说明中,将“晶片”换做“衬底”考虑即可。
以下,说明衬底处理工序。
(衬底搬入工序S201)
在衬底处理工序之际,首先,将晶片200搬入处理空间201。具体而言,通过升降部218使衬底支承部210下降,使提升销207处于从贯通孔214向衬底支承部210的上表面侧突出的状态。另外,在将处理空间201内、移载室203调压至规定的压力之后,使闸阀1490开放、从闸阀1490的开口将晶片200载置于提升销207上。当将晶片200载置于提升销207上之后,关闭闸阀1490,通过升降部218使衬底支承部210上升至规定的位置,从而使得晶片200从提升销207载置于衬底支承部210。
(减压升温工序S202)
接下来,经由排气管224对处理空间201内进行排气,以使处理空间201内成为规定压力(真空度)。此时,基于压力传感器(未图示)所测得的压力值,反馈控制压力调节器227的阀开度。另外,基于温度传感器(未图示)所测定的温度值,反馈控制对加热器213的通电量,以使处理空间201内的温度成为规定温度。具体而言,利用加热器213预先对衬底支承部210加热,在晶片200或衬底支承部210的温度不再发生变化后放置一定时间。
此时的加热器213的温度设定为100~600℃,优选为100~500℃,更优选为250~450℃的范围内的一定温度。
(第一处理工序S301)
接着,说明作为第一处理而对形成于晶片200上的含硅层进行treatment处理(也称为改质处理)的例子。关于第一处理工序S301的详情,使用图4、图5、图6、图7、图8进行说明。图4是衬底处理工序的流程图。图5、图6、图7是表示等离子体生成例与各阻抗控制部的控制关系的图。图8是表示相对于等离子体的设定的各阻抗控制部的设定值的表格例。
晶片200载置于衬底支承部210,在处理空间201内的气氛稳定后,进行S203~S207的步骤。
(第一阻抗调节工序S203)
首先,进行对晶片200或支承电极(也称为衬托器电极)256附近的等离子体状态进行调节的第一阻抗调节工序S203。具体而言,读出图8所示的、阻抗设定表格的第一设定、第二设定、第三设定中的任意设定。阻抗设定表格例如记录于存储装置280c。控制器280将读出的阻抗的设定发送给各阻抗控制部。各阻抗控制部如以下这样进行阻抗调节。第一阻抗控制部258调节上部电极231与第一电位部271之间的阻抗。第二阻抗控制部257调节支承电极256与第二电位部272之间的阻抗。第三阻抗控制部259调节电极234与第三电位部之间的阻抗。需要说明的是,在各电极与各阻抗控制部之间设有切换部264、265、266的情况下,控制器280设定切换部264、265、266的ON/OFF。需要说明的是,表示了在S202之后进行工序S203的例子,但不限于此,只要在S201的工序之后到后述的第一等离子体生成工序之前的期间进行工序S203即可。
(第一气体供给工序S204)
在第一气体供给工序S204,从第一气体供给部向处理空间201内供给NH3气体作为第一处理气体。具体而言,利用MFC115对从第一气体供给源113供给的NH3气体进行了流量调节之后,向衬底处理装置100供给。流量调节后的NH3气体从气体导入口241被供给到减压状态的处理空间201内。此外,持续基于排气系统的处理空间201内的排气,并进行控制以使处理空间201内的压力成为规定的压力范围(第一压力)。此时,对晶片200供给NH3气体。NH3气体以规定的流量(例如100~20000sccm)或规定的压力(例如10Pa以上1000Pa以下)向处理空间201内供给。如此,向晶片200供给NH3气体。
(第一等离子体生成工序S205)
在对处理空间201或晶片200供给了NH3气体的状态下,从电力供给部(匹配器251,低频电源部252)向电极234供给低频电力。通过向电极234供给低频电力,由此在处理空间201内生成NH3气体的等离子体260。对电极234供给规定的电力(例如,50W以上10000W以下)。通过供给这样的低频电力,由此表皮效果削薄。换言之,能够抑制等离子体向等离子体生成容器202c的壁侧的集中。结果,在处理空间201内,能够使与晶片200水平的方向的等离子体密度均匀化。
在等离子体中存在NH3的活性种。通过将NH3的活性种供给到晶片200,由此形成于晶片200上的含硅层被改质。具体而言,含硅层所含的氯(Cl)被除去,氮(N)被供给到含硅层中的未键合键(悬空键),提高了氮化硅膜的品质。此外,等离子体260的状态根据各阻抗控制部的设定而如以下这样变化。在本发明的处理中,将以下的等离子体状态的任一种或组合进行规定时间。
<等离子体状态与阻抗设定的关系>
(第一设定的情况)
在阻抗的设定被设定为第一设定的情况下,等离子体如图5所示,生成被吸引到处理空间201的上部的状态的第一等离子体260a。这是通过如下而产生:从上部电极231到第一电位部271的路径的阻抗变小,低频电源部252输出的低频电力经由电极234和第一等离子体260a而容易流向上部电极231一方,由此产生上述状态的等离子体。通过以该第一等离子体260a的状态对晶片200进行处理,能够减少到达晶片200的离子量,对于形成于晶片200上的元器件(也称为结构体、凹凸结构)能够实施比较各向同性的处理。
(第二设定的情况)
在阻抗的设定被设定为第二设定的情况下,等离子体如图6所示,生成被支承电极256吸引的状态的第二等离子体260b。这是通过如下而产生:从上部电极231到第一电位部271的路径的阻抗与从支承电极256到第二电位部272的路径的阻抗的值接近,低频电源部252输出的低频电力经由电极234和第一等离子体260b而流向上部电极231和支承电极256双方,由此产生上述状态的等离子体。需要说明的是,在第二设定中,并非全部电子流入支承电极256,一部分也流向上部电极231。通过以该第二等离子体260b的状态对晶片200进行处理,能够实现使形成于晶片200的膜的一层左右的膜均匀改质的处理。
(第三设定的情况)
在阻抗的设定被设定为第三设定的情况下,等离子体如图7所示,生成被支承电极256吸引、接近晶片200的状态的第三等离子体260c。这是通过如下而产生:从支承电极256到第二电位部272的路径的阻抗变小,低频电源部252输出的低频电力经由天线234和第三等离子体260c而容易流向支承电极256一方,由此产生上述状态的等离子体。需要说明的是,支承电极256附近的等离子体密度高于第二设定。通过以该第三等离子体260c的状态对晶片200进行处理,能够提高形成于晶片200的膜的改质速率。
关于在此的等离子体生成,以进行后述的第二处理工序S401、第三处理工序S501的情况为例进行说明。在该情况下,在生成了第一等离子体260a的状态下进行的处理,进行到晶片200的整个表面被氮以数原子层程度覆盖的程度。
(吹扫工序S206)
晶片200上的含硅层被改质后,关闭第1气体供给管113a的气体阀116,停止NH3气体的供给。通过停止第一气体的供给,由此将存在于处理空间201中的第一气体从第一排气部排气,进行吹扫工序S206。
此外,在吹扫工序S206,除了简单地将气体排气(抽真空)来排出气体以外,还可以构成为:自非活性气体供给源133供给非活性气体,进行将残留气体挤出的排出处理。在该情况下,打开阀136,利用MFC135来进行非活性气体的流量调节。此外,可以将抽真空和非活性气体的供给组合进行。此外,可以构成为交替进行抽真空和非活性气体的供给。
经过了规定时间后,关闭阀136,停止非活性气体的供给。需要说明的是,可以保持打开阀136的状态而持续进行非活性气体的供给。需要说明的是,从非活性气体供给部供给的作为吹扫气体的N2气体的供给流量分别为例如100~20000sccm的范围内的流量。作为吹扫气体,除了N2气之外,也可以使用Ar、He、Ne、Xe等稀有气体。
(第一判定工序S207)
吹扫工序S206结束后,控制器280可以判定除了上述的第一处理工序S301(S203~S206)之外是否需要进行其他处理。即,可以判定对于形成于晶片200上的膜、结构体的改质是否结束。
在进行第一判定工序S207的情况下进行如下的判定。在除了第一处理工序S301之外还需要进行其他处理时(判定为“是”时),进行第二处理工序S401。在不进行其他处理时(判定为“否”时),结束第一处理工序S301,执行输送压力调节工序S209和衬底搬出工序S210。
在不进行第一判定工序S207的情况下,在第一处理工序S301之后执行输送压力调节工序S209和衬底搬出工序S210。
(第二处理(treatment)工序S401)
接着,对向晶片200施加第二处理的例子进行说明。
(第二阻抗调节工序S403)
在第二处理时,首先,调节各阻抗控制部的阻抗。在S403中,调节为与第一阻抗调节工序S203不同的阻抗设定。具体而言,在S203调节为第一设定的情况下,S403中的阻抗设定被调节为第二设定或第三设定。在此,对在第一处理工序S301调节为第一设定,在第二处理工序S401调节为第二设定的情况进行说明。调节为第二阻抗后,进行处理气体供给工序S404、第二等离子体生成工序S405、吹扫工序S406。在此进行的处理气体供给工序S404和吹扫工序S406与上述的处理气体供给工序S204和吹扫工序S206相同,因此省略说明。
(第二等离子体生成工序S405)
通过与上述的第一等离子体生成工序S205同样地向电极234供给低频电力,由此在处理空间201内生成NH3气体的等离子体。此时,关于等离子体,如上述那样生成第二等离子体260b。
生成等离子体,经过了规定时间之后,进行吹扫工序S406,结束第二处理工序S401。
这样的处理,在例如对于电极结构、含金属膜露出的晶片200、含金属膜存在于比较接近表面的部位的晶片200的处理是有效的。含金属膜有时因与等离子体260的接触而被充电。在充电的情况下,有可能会使形成于含金属膜周边的绝缘膜被绝缘破坏。该绝缘破坏被称为充电损失。由此,存在半导体元器件生产的成品率降低的问题。但是,通过这样进行第一处理工序S301,能够使电极结构、含金属膜的最外表面绝缘化。由此,能够以抑制充电的状态,进行用包括离子成分的第三等离子体260c的处理,能够提高上述的含硅膜的改质速率。
在第二处理工序S401之后可以进行第二判定工序S407。
(第二判定工序S407)
在第二判定工序S407,如第一判定工序S207这样判定是否需要进行其他处理。在进行其他处理时(判定为“是”时),进行第三处理工序S501。在不进行其他处理时(判定为“否”时),结束第二处理工序S401,执行输送压力调节工序S209和衬底搬出工序S210。
在不进行第二判定工序S407的情况下,在第二处理工序S401之后执行输送压力调节工序S209和衬底搬出工序S210。
(第三处理(treatment)工序S501)
接着,对向晶片200实施第三处理的例子进行说明。
(第阻抗调节工序S503)
在第三处理时,首先,调节各阻抗控制部的阻抗。在S503,调节为与第一阻抗调节工序S203和第二阻抗调节工序S403不同的阻抗设定。具体而言,在S203调节为第一设定、在S403调节为第二设定时,S503中的阻抗设定被调节为第三设定。在此,对在S203调节为第一设定、在S403调节为第二设定、在S503调节为第三设定的情况进行说明。调节为第三阻抗后,进行处理气体供给工序S504、第三等离子体生成工序S505和吹扫工序S506。在此进行的处理气体供给工序S504和吹扫工序S506与上述的处理气体供给工序S204和吹扫工序S206相同,因此省略说明。
(第三等离子体生成工序S505)
通过与上述的第一等离子体生成工序S205、第二等离子体生成工序S405同样地向电极234供给低频电力,由此在处理空间201内生成NH3气体的等离子体。此时,关于等离子体,如上述那样生成第三等离子体260c。
生成等离子体,经过了规定时间之后,进行吹扫工序S506,结束第三处理工序S501。
通过这样分三个阶段进行改质处理,从而能够缩短了抑制充电的层的形成时间,同时使含硅层的改质速率最大化。在晶片200的表面露出含金属膜的情况下,对改质速率有影响的到达晶片200的离子成分量与充电量处于比例关系,因此使含金属膜的表面绝缘化(钝化)、或在表面不形成绝缘膜时,能够抑制充电。
第三处理工序S501后,进行输送压力调节工序S209和衬底搬出工序S210。
(输送压力调节工序S209)
接着,说明输送压力调节工序S209。在输送压力调节工序S209,用第一排气口262和第二排气口1481的任一方或双方排气,以使得处理空间201内、移载室203内成为规定的压力(真空度)。
(衬底搬出工序S210)
在输送压力调节工序S209中处理空间201和移载室203内成为规定压力后,通过升降部218使衬底支承部210下降,成为提升销207从贯通孔214突出于衬底支承部210的上表面侧的状态。即,成为晶片200被支承于提升销207的状态。其后,打开闸阀1490,将晶片200从移载室203搬出到搬送组件(未图示)。
如此进行本发明的衬底处理工序。
以上,具体说明了本开示的一实施方式,但本发明不限于上述的实施方式,在不脱离其要旨的范围可以进行各种变更。
上述中记载了供给1种气体进行处理的方法,但可以是使用2种以上气体的处理。
此外,上述中记载了改质处理,但也可以适用于其他处理。例如,有使用等离子体的扩散处理、氧化处理、氮化处理、氮氧化处理、还原处理、氧化还原处理、蚀刻处理、加热处理、成膜处理等。在进行了这些处理之后,可以进行上述的第一处理~第三处理。
此外,上述中记载了在晶片200上已经形成有含硅层的状态下进行改质处理的例子,但可以在含硅层的形成中进行改质处理。具体而言,可以构成为:在循环供给从第二气体供给部的含硅气体供给和从第一气体供给部的含氮气体的供给而形成含硅层的工序中,在每一循环或每多个循环进行上述的第一处理。此外可以构成为,在形成该含硅层的循环中,在从第一气体供给部供给含氮气体的供给中供给低频电力。通过这样处理,能够提高硅氮化膜的品质。图9记载了这些处理顺序。需要说明的是,在上述的衬底处理工序中,可以将第一处理工序S301、第二处理工序S401和第三处理工序S501分别置换为图9记载的第四处理工序S601、第五处理工序S701。具体而言,可以构成为,将第一处理工序S301置换为第五处理工序S701,在含硅层的形成工序后,进行第二处理工序S401。根据这样的成膜和改质处理的组合,对于具有高纵横比图案的衬底,也能进行比上述的实施方式更均匀的成膜和改质。
此外,在上述中记载了半导体器件的制造工序,但实施方式涉及的发明可以适用于半导体器件的制造工序以外。例如有液晶元器件的制造工序、太阳能电池的制造工序、发光元器件的制造工序、玻璃衬底的处理工序、陶瓷衬底的处理工序、导电性衬底的处理工序等衬底处理。
此外,在上述中示出了作为处理气体使用含氮气体、形成硅氮化膜的例子,但也可适用于使用其他气体的成膜。例如有含氧气体、含碳气体、含硼气体、含金属气体和含有多个这些元素的气体等。
此外,在上述中示出了处理含硅层的例子,但可以是含有其他元素的层。例如有Al含有层,Zr含有层,Hf含有层,HfAl含有层,ZrAl含有层,SiC含有层,SiCN含有层,SiBN含有层,TiN含有层,TiC含有层,TiAlC含有层等。
此外,在上述中示出了用一个处理室处理一片衬底的装置构成,但不限于此,可以是在水平方向并排有多片衬底的装置。

Claims (14)

1.一种衬底处理装置,包括:
收容衬底的处理容器;
衬底支承部,在所述处理容器内支承所述衬底,具有支承电极;
与所述衬底支承部相对的上部电极;
第一阻抗控制部,其一端连接于所述上部电极;
第二阻抗控制部,其一端连接于所述支承电极;
处理气体供给部,向所述衬底供给处理气体;
活化部,其在所述处理容器的外侧,经由绝缘部连接于电力供给部,使所述处理气体活化;
第三阻抗控制部,其一端连接于所述绝缘部与所述活化部之间;
第一电位部,其与所述第一阻抗控制部的另一端直接连接,且所述第一电位部的电位与接地电位不同;
第二电位部,其与所述第二阻抗控制部的另一端直接连接,且所述第二电位部的电位与接地电位不同;以及
第三电位部,其与所述第三阻抗控制部的另一端直接连接,且所述第三电位部的电位与接地电位不同。
2.根据权利要求1所述的衬底处理装置,其中,还包括:
连接所述第一电位部、所述第二电位部和所述第三电位部的共通电位部。
3.根据权利要求1所述的衬底处理装置,其中,还包括:
控制部,设定所述第一阻抗控制部的第一阻抗、所述第二阻抗控制部的第二阻抗、所述第三阻抗控制部的第三阻抗和所述电力供给部的电力。
4.根据权利要求2所述的衬底处理装置,其中,还包括:
控制部,设定所述第一阻抗控制部的第一阻抗、所述第二阻抗控制部的第二阻抗、所述第三阻抗控制部的第三阻抗和所述电力供给部的电力。
5.根据权利要求3所述的衬底处理装置,其中,
所述控制部以在设定为使所述第二阻抗比所述第一阻抗和所述第三阻抗大的第一设定之后、向所述活化部供给电力,使所述处理气体活化的方式,控制所述第一阻抗控制部、所述第二阻抗控制部、所述第三阻抗控制部、所述活化部和所述电力供给部。
6.根据权利要求4所述的衬底处理装置,其中,
所述控制部以在设定为使所述第二阻抗比所述第一阻抗和所述第三阻抗大的第一设定之后、向所述活化部供给电力,使所述处理气体活化的方式,控制所述第一阻抗控制部、所述第二阻抗控制部、所述第三阻抗控制部、所述活化部和所述电力供给部。
7.根据权利要求3所述的衬底处理装置,其中,
所述控制部以在设定为使所述第一阻抗和所述第二阻抗比所述第三阻抗大的第二设定之后、向所述活化部供给电力,使所述处理气体活化的方式,控制所述第一阻抗控制部、所述第二阻抗控制部、所述第三阻抗控制部、所述活化部和所述电力供给部。
8.根据权利要求5所述的衬底处理装置,其中,
所述控制部以在设定为使所述第一阻抗和所述第二阻抗比所述第三阻抗大的第二设定之后、向所述活化部供给电力,使所述处理气体活化的方式,控制所述第一阻抗控制部、所述第二阻抗控制部、所述第三阻抗控制部、所述活化部和所述电力供给部。
9.根据权利要求3所述的衬底处理装置,其中,
所述控制部以在设定为使所述第一阻抗比所述第二阻抗和所述第三阻抗大的第三设定之后、向所述活化部供给电力,使所述处理气体活化的方式,控制所述第一阻抗控制部、所述第二阻抗控制部、所述第三阻抗控制部、所述活化部和所述电力供给部。
10.根据权利要求5所述的衬底处理装置,其中,
所述控制部以在设定为使所述第一阻抗比所述第二阻抗和所述第三阻抗大的第三设定之后、向所述活化部供给电力,使所述处理气体活化的方式,控制所述第一阻抗控制部、所述第二阻抗控制部、所述第三阻抗控制部、所述活化部和所述电力供给部。
11.根据权利要求7所述的衬底处理装置,其中,
所述控制部以在设定为使所述第一阻抗比所述第二阻抗和所述第三阻抗大的第三设定之后、向所述活化部供给电力,使所述处理气体活化的方式,控制所述第一阻抗控制部、所述第二阻抗控制部、所述第三阻抗控制部、所述活化部和所述电力供给部。
12.根据权利要求11所述的衬底处理装置,其中,
所述控制部在以使所述第二阻抗比所述第一阻抗和所述第三阻抗大的第一设定对所述衬底进行了第一处理之后,进行基于所述第二设定的第二处理或基于所述第三设定的第三处理。
13.一种半导体器件的制造方法,包括如下工序:
在处理容器收容衬底的工序;
调节第一阻抗控制部的工序,所述第一阻抗控制部的一端连接于与所述衬底相对的上部电极,所述第一阻抗控制部的另一端与电位不同于接地电位的第一电位部直接连接;
调节第二阻抗控制部的工序,所述第二阻抗控制部的一端连接于在支承所述衬底的衬底支承部设置的支承电极,所述第二阻抗控制部的另一端与电位不同于接地电位的第二电位部直接连接;
调节第三阻抗控制部的工序,所述第三阻抗控制部的一端连接于设于所述处理容器的外侧的活化部,所述第三阻抗控制部的另一端与电位不同于接地电位的第三电位部直接连接;
向所述衬底供给处理气体的工序;以及
在调节了所述第一阻抗控制部~第三阻抗控制部的各阻抗控制部之后,向所述活化部供给电力而使所述处理气体活化的工序。
14.一种记录介质,存储有通过计算机使衬底处理装置执行如下步骤的程序,所述步骤为:
在处理容器收容衬底的步骤;
调节第一阻抗控制部的步骤,所述第一阻抗控制部的一端连接于与所述衬底相对的上部电极,所述第一阻抗控制部的另一端与电位不同于接地电位的第一电位部直接连接;
调节第二阻抗控制部的步骤,所述第二阻抗控制部的一端连接于在支承所述衬底的衬底支承部设置的支承电极,所述第二阻抗控制部的另一端与电位不同于接地电位的第二电位部直接连接;
调节第三阻抗控制部的步骤,所述第三阻抗控制部的一端连接于设于所述处理容器的外侧的活化部,所述第三阻抗控制部的另一端与电位不同于接地电位的第三电位部直接连接;
向所述衬底供给处理气体的步骤;以及
在调节了所述第一阻抗控制部~第三阻抗控制部的各阻抗控制部之后,向所述活化部供给电力而使所述处理气体活化的步骤。
CN201711083530.7A 2017-02-06 2017-11-07 衬底处理装置、半导体器件的制造方法及记录介质 Active CN108400092B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017019514A JP6529996B2 (ja) 2017-02-06 2017-02-06 基板処理装置、半導体装置の製造方法およびプログラム
JP2017-019514 2017-02-06

Publications (2)

Publication Number Publication Date
CN108400092A CN108400092A (zh) 2018-08-14
CN108400092B true CN108400092B (zh) 2022-02-22

Family

ID=63037328

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711083530.7A Active CN108400092B (zh) 2017-02-06 2017-11-07 衬底处理装置、半导体器件的制造方法及记录介质

Country Status (5)

Country Link
US (1) US10818476B2 (zh)
JP (1) JP6529996B2 (zh)
KR (1) KR101996143B1 (zh)
CN (1) CN108400092B (zh)
TW (1) TWI682425B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10541137B2 (en) * 2018-06-01 2020-01-21 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for non line-of-sight doping
US20210375650A1 (en) * 2020-06-01 2021-12-02 Applied Materials, Inc. High temperature and vacuum isolation processing mini-environments

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002043304A (ja) * 2000-07-18 2002-02-08 Applied Materials Inc プラズマ処理方法及び装置並びに成膜方法
KR20070097232A (ko) * 2006-03-29 2007-10-04 장근구 반도체 기판 공정 챔버에 사용되는 다중 플라즈마 발생소스
CN105990086A (zh) * 2015-03-20 2016-10-05 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN106024619A (zh) * 2015-03-30 2016-10-12 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
JP2635267B2 (ja) * 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
US5683539A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Inductively coupled RF plasma reactor with floating coil antenna for reduced capacitive coupling
JP2005167283A (ja) * 2000-08-25 2005-06-23 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JP4255686B2 (ja) * 2001-11-27 2009-04-15 アルプス電気株式会社 プラズマ処理装置及びその駆動方法並びにプラズマ処理方法
TW200300649A (en) 2001-11-27 2003-06-01 Alps Electric Co Ltd Plasma processing apparatus, its driving method, matching circuit design system, and plasma processing method
JP4672455B2 (ja) * 2004-06-21 2011-04-20 東京エレクトロン株式会社 プラズマエッチング装置およびプラズマエッチング方法、ならびにコンピュータ読み取り可能な記憶媒体
JP2012193457A (ja) 2009-06-10 2012-10-11 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び半導体装置の製造装置
JP5220062B2 (ja) 2009-06-10 2013-06-26 株式会社日立国際電気 半導体装置の製造装置及び半導体装置の製造方法
JP2013084918A (ja) * 2011-09-27 2013-05-09 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及びプログラム
JP5807084B2 (ja) 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6230573B2 (ja) 2015-07-06 2017-11-15 株式会社日立国際電気 半導体装置の製造方法、プログラム、基板処理システム及び基板処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002043304A (ja) * 2000-07-18 2002-02-08 Applied Materials Inc プラズマ処理方法及び装置並びに成膜方法
KR20070097232A (ko) * 2006-03-29 2007-10-04 장근구 반도체 기판 공정 챔버에 사용되는 다중 플라즈마 발생소스
CN105990086A (zh) * 2015-03-20 2016-10-05 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN106024619A (zh) * 2015-03-30 2016-10-12 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置

Also Published As

Publication number Publication date
JP6529996B2 (ja) 2019-06-12
KR101996143B1 (ko) 2019-07-03
JP2018129330A (ja) 2018-08-16
US10818476B2 (en) 2020-10-27
US20180226228A1 (en) 2018-08-09
TWI682425B (zh) 2020-01-11
TW201843693A (zh) 2018-12-16
KR20180091686A (ko) 2018-08-16
CN108400092A (zh) 2018-08-14

Similar Documents

Publication Publication Date Title
CN107871652B (zh) 半导体器件的制造方法及衬底处理装置
JP5840268B1 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
KR101939584B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101965154B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US11747789B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
KR101444765B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP2013080907A (ja) 基板処理装置、半導体装置の製造方法及びプログラム
CN108400092B (zh) 衬底处理装置、半导体器件的制造方法及记录介质
US10978310B2 (en) Method of manufacturing semiconductor device and non-transitory computer-readable recording medium capable of adjusting substrate temperature
CN112640063B (zh) 基板处理装置、半导体装置的制造方法、存储介质及等离子体单元
KR20130109062A (ko) 반도체 장치 제조 방법, 기판 처리 장치 및 기록 매체
CN112740376A (zh) 半导体装置的制造方法、基板处理装置和程序
KR102452913B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
CN107293477B (zh) 半导体器件的制造方法、衬底处理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20181203

Address after: Tokyo, Japan, Japan

Applicant after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Applicant before: Hitachi Kunisai Electric Corp.

GR01 Patent grant
GR01 Patent grant