CN106847812B - 集成电路器件 - Google Patents

集成电路器件 Download PDF

Info

Publication number
CN106847812B
CN106847812B CN201610884035.5A CN201610884035A CN106847812B CN 106847812 B CN106847812 B CN 106847812B CN 201610884035 A CN201610884035 A CN 201610884035A CN 106847812 B CN106847812 B CN 106847812B
Authority
CN
China
Prior art keywords
gate
layer
insulating
fin
liner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610884035.5A
Other languages
English (en)
Other versions
CN106847812A (zh
Inventor
卓容奭
朴起宽
李泰宗
具本荣
朴起演
崔成贤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN106847812A publication Critical patent/CN106847812A/zh
Application granted granted Critical
Publication of CN106847812B publication Critical patent/CN106847812B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Nanotechnology (AREA)
  • Thin Film Transistor (AREA)
  • Materials Engineering (AREA)

Abstract

本公开提供了集成电路器件。一种集成电路器件包括:鳍型有源区域,从基板突出并具有在第一水平面处的上表面;纳米片,平行于鳍型有源区域的上表面延伸并包括沟道区域,纳米片位于与鳍型有源区域的上表面间隔开的第二水平面处;栅极,设置在鳍型有源区域上并围绕纳米片的至少一部分,栅极在交叉鳍型有源区域的方向上延伸;栅极介电层,设置在纳米片和栅极之间;源极和漏极区域,形成在鳍型有源区域上并连接到纳米片的一端;第一绝缘间隔物,在纳米片上,第一绝缘间隔物覆盖栅极的侧壁;以及第二绝缘间隔物,设置在栅极与源极和漏极区域之间且在鳍型有源区域的上表面和纳米片之间的空间中,第二绝缘间隔物具有多层结构。

Description

集成电路器件
技术领域
本发明构思涉及集成电路器件以及制造该集成电路器件的方法,更具体地,涉及包括金属氧化物半导体场效应晶体管(MOSFET)的集成电路器件以及制造该集成电路器件的方法。
背景技术
随着半导体器件的集成度变大,半导体器件的尺寸已经被减小到极端的状态,并且其按比例缩小已经接近极限。因此,为了减小半导体器件中的有效切换电容(Ceff)并增强其性能,需要涉及在结构上改变半导体器件的新方法。
发明内容
本发明构思提供具有能够减小集成半导体器件的有效开关电容(Ceff)并增强其性能的结构的集成电路器件。
本发明构思还提供一种制造集成电路器件的方法,该集成电路器件具有能够减小集成半导体器件的有效开关电容(Ceff)并增强其性能的结构。
根据本发明构思的一个方面,提供一种集成电路器件,该集成电路器件包括:鳍型有源区域,从基板突出并具有第一水平面处的上表面;纳米片,平行于鳍型有源区域的上表面延伸并包括沟道区域,该纳米片位于与鳍型有源区域的上表面间隔开的第二水平面处;栅极,设置在鳍型有源区域上并围绕纳米片的至少一部分,该栅极在交叉鳍型有源区域的方向上延伸;栅极介电层,设置在纳米片和栅极之间;源极和漏极区域,形成在鳍型有源区域上并连接到纳米片的一端;第一绝缘间隔物,在纳米片上,第一绝缘间隔物覆盖栅极的侧壁;以及第二绝缘间隔物,设置在栅极与源极和漏极区域之间,在鳍型有源区域的上表面和纳米片之间的空间中,第二绝缘间隔物具有多层结构。
根据本发明构思的另一个方面,提供一种集成电路器件,该集成电路器件包括:鳍型有源区域,从基板突出并在第一方向上延伸;至少一个纳米片堆叠结构,面对鳍型有源区域的上表面并与鳍型有源区域的上表面间隔开,该至少一个纳米片堆叠结构包括每个具有沟道区域的多个纳米片;至少一个栅极,设置在鳍型有源区域上并覆盖至少一个纳米片堆叠结构,该至少一个栅极在交叉第一方向的方向上延伸;至少一个栅极介电层,设置在该至少一个纳米片堆叠结构和该至少一个栅极之间;源极和漏极区域,连接到多个纳米片;以及绝缘间隔物,每个具有多层结构并接触源极和漏极区域且在多个纳米片之间的空间中。
根据本发明构思的另一个方面,提供一种制造集成电路器件的方法,该方法包括:形成鳍型有源区域和纳米片,该鳍型有源区域从基板突出并具有第一水平面处的上表面,该纳米片位于与鳍型有源区域的上表面间隔开的第二水平面处并平行于鳍型有源区域的上表面延伸;在纳米片上形成第一绝缘间隔物,第一绝缘间隔物限定栅极间隔;在鳍型有源区域的上表面和纳米片之间的空间中形成第二绝缘间隔物,第二绝缘间隔物具有多层结构;在鳍型有源区域上形成源极和漏极区域,该源极和漏极区域连接到纳米片的一端和第二绝缘层的一端;以及在鳍型有源区域上形成栅极,其中栅极在交叉鳍型有源区域的方向上延伸,围绕纳米片的至少一部分,并面对源极和漏极区域,第二绝缘间隔物在栅极与该源极和漏极区域之间。
根据本发明构思的另一个方面,提供一种制造集成电路器件的方法,该方法包括:形成鳍型有源区域和包括多个纳米片的纳米片堆叠结构,其中鳍型有源区域从基板突出并在第一方向上延伸,并且纳米片堆叠结构面对鳍型有源区域的上表面并与该上表面间隔开;在纳米片堆叠结构上形成第一绝缘间隔物,第一绝缘间隔物限定栅极间隔;在多个纳米片之间的空间和鳍型有源区域的上表面和多个纳米片当中的最下面的纳米片之间的空间中形成多个第二绝缘间隔物,每个具有多层结构;在鳍型有源区域上形成源极和漏极区域,源极和漏极区域连接到纳米片堆叠结构的一端和多个第二绝缘间隔物的端部;以及在鳍型有源区域上形成栅极,其中栅极在第二方向上延伸,围绕多个纳米片,并面对源极和漏极区域,而多个第二绝缘间隔物在栅极与该源极和漏极区域之间。
根据本发明构思的另一个方面,一种集成电路器件包括:基板;鳍型有源区域,从基板突出;多个源极和漏极区域,在鳍型有源区域上;多个纳米片,邻近于多个源极和漏极区域,多个源极和漏极区域分别连接到多个纳米片的相反两端;以及多个绝缘间隔物,设置在多个纳米片中的相邻纳米片之间,每个绝缘间隔物具有多层结构。
应指出,关于一个实施方式描述的本发明构思的方面可以被结合在不同的实施方式中,尽管没有对于其进行具体的描述。也就是,所有实施方式和/或任何实施方式的特征可以以任何方式和/或组合来结合。本发明构思的这些和其它的方面在下面阐述的说明书中详细说明。
附图说明
从以下结合附图的详细描述,本发明构思的实施方式将被更清楚地理解,附图中:
图1A至图1C是示出根据本发明构思的实施方式的集成电路器件的图,其中图1A是集成电路器件的主要元件的平面布局图,图1B是该集成电路器件沿着图1A的线X-X'剖取的截面图,图1C是该集成电路器件沿着图1A的线Y-Y'剖取的截面图;
图2A至图2C是根据本发明构思的实施方式的可用于集成电路器件中的各种多层结构的第二绝缘间隔物的配置的截面图;
图3是根据本发明构思的另一些实施方式的集成电路器件的截面图;
图4A至图4C是根据本发明构思的另一些实施方式的可用于集成电路器件中的各种多层结构的第二绝缘间隔物的配置的截面图;
图5至图26是示出根据本发明构思的实施方式的基于工艺顺序的制造集成电路器件的方法的截面图,其中图5、6A、7A、8A、9A、10A、11A、12至14、15A、16A和17至26是与沿着图1A的线X-X'剖取的截面图对应的部分的截面图,图6B、7B、8B、9B、10B和11B是与沿着图1A的线Y-Y'剖取的截面图对应的部分的截面图;
图27至图31是示出根据本发明构思的另一些实施方式的基于工艺顺序的制造集成电路器件方法的截面图;
图32是根据本发明构思的实施方式的电子装置的方框图;以及
图33是根据本发明构思的实施方式的电子系统的方框图。
具体实施方式
在下文,本发明构思将通过参照附图说明本发明的实施方式而被详细描述。附图中的相同的附图标记表示相同的元件,因此将省略其描述。在本说明书中,术语“纳米片”可以表示具有从约1至约100nm的厚度的二维结构。
图1A至1C是示出根据本发明构思的实施方式的集成电路器件100的图,其中图1A是集成电路器件100的主要元件的平面布局图,图1B是集成电路器件100沿着图1A的线X-X'剖取的截面图,图1C是集成电路器件100沿着图1A的线Y-Y'剖取的截面图。
参照图1A至图1C,集成电路器件100可以包括:多个鳍型有源区域FA,从基板102突出并在第一方向(X方向)上延伸;以及多个纳米片堆叠结构NSS,与多个鳍型有源区域FA的上表面104间隔开并面对多个鳍型有源区域FA的上表面104。
限定多个鳍型有源区域FA的第一沟槽T1和限定器件区域DR的第二沟槽T2可以形成在基板102中。第二沟槽T2可以比第一沟槽T1深。
多个鳍型有源区域FA的下侧壁可以被填充第一沟槽T1的浅沟槽隔离(STI)层114覆盖。STI层114可以包括共形地覆盖第一沟槽T1的内壁的绝缘衬层114A和在绝缘衬层114A上的填充第一沟槽T1的间隙填充绝缘层114B。第二沟槽T2可以用器件隔离层116填充。多个鳍型有源区域FA的上表面104的水平面、STI层114的上表面的水平面和器件隔离层116的上表面的水平面可以彼此相同或相似。
多个栅极150可以在多个鳍型有源区域FA上在交叉第一方向的第二方向(Y方向)上延伸。多个鳍型有源区域FA的上表面104可以具有第一水平面LV1。
多个纳米片堆叠结构NSS可以与多个鳍型有源区域FA的上表面104间隔开。多个纳米片堆叠结构NSS可以包括多个纳米片N1、N2和N3,该多个纳米片N1、N2和N3在距基板102比第一水平面LV1更远的第二水平面LV2处平行于多个鳍型有源区域FA的上表面104延伸。本示例描述了这样的配置,其中多个纳米片堆叠结构NSS和多个栅极150形成在单个鳍型有源区域FA上,并且多个纳米片堆叠结构NSS在单个鳍型有源区域FA上布置在沿着鳍型有源区域FA的延伸方向(X方向)的线上,但是本发明构思不限于此。布置在单个鳍型有源区域FA上的纳米片堆叠结构NSS的数量没有被特别限制。例如,单个纳米片堆叠结构NSS可以形成在单个鳍型有源区域FA上。
构成多个纳米片堆叠结构NSS的多个纳米片N1、N2和N3可以一个接着一个地顺序堆叠在多个鳍型有源区域FA的上表面104上。本示例描述了其中单个纳米片堆叠结构NSS包括三个纳米片N1、N2和N3的情况,但是本发明构思不限于此。例如,三个纳米片N1、N2和N3的每个可以包括一个纳米片,并可以根据需要而包括被不同地选择的多个纳米片。多个纳米片N1、N2和N3的每个可以包括沟道区域。
多个栅极150可以形成为围绕多个纳米片N1、N2和N3中的至少一些同时覆盖纳米片堆叠结构NSS。多个栅极150的每个可以包括覆盖纳米片堆叠结构NSS的上表面的主栅极部分150M以及形成在鳍型有源区域FA与纳米片N1之间和纳米片N1、N2和N3中的相邻纳米片之间的空间中的多个子栅极部分150S。多个子栅极部分150S的每个的厚度小于主栅极部分150M的厚度。在这点上,多个子栅极部分150S的厚度和主栅极部分150M的厚度指的是在图1A至图1C中的Z方向上的厚度。
栅极介电层145可以形成在纳米片堆叠结构NSS和栅极150之间。
多个纳米片N1、N2和N3可以形成在鳍型有源区域FA和栅极150之间的空间中且在由栅极150覆盖的交叠区域OR中。在X-Y平面中,包括多个纳米片N1、N2和N3的纳米片堆叠结构NSS可以具有比交叠区域OR的平面面积大的平面面积。图1A示出其中纳米片堆叠结构NSS的平面形状为大致矩形形状的情况,但是本发明构思不限于此。纳米片堆叠结构NSS可以具有根据鳍型有源区域FA的平面形状和栅极150的平面形状的各种平面形状。
基板102可以包括元素半导体诸如Si和Ge或者化合物半导体诸如SiGe、SiC、GaAs、InAs和InP。在某些实施方式中,基板102可以包括III-V族材料和IV族材料中的至少一种。III-V族材料可以包括具有至少一个III族元素和至少一个V族元素的二元、三元或四元化合物。III-V族材料可以为包括作为III族元素的In、Ga和Al中的至少一个元素以及作为V族元素的As、P和Sb中的至少一个元素的化合物。例如,III-V族材料可以选自InP、InzGa1-zAs(0≤z≤1)和AlzGa1-zAs(0≤z≤1)。二元化合物可以为例如InP、GaAs、InAs、InSb和GaSb之一。三元化合物可以为InGaP、InGaAs、AlInAs、InGaSb、GaAsSb和GaAsP之一。IV族材料可以为Si或Ge。然而,本发明构思的实施方式不限于III-V族材料和IV族材料的以上示例。III-V族材料和IV族材料(诸如Ge)可以用作用于形成具有低功耗和高运行速度的晶体管的沟道材料。高性能的互补金属氧化物半导体(CMOS)可以采用包括具有比Si基板高的电子迁移率的III-V族材料诸如GaAs的半导体基板以及具有空穴迁移率比Si基板高的诸如Ge的半导体材料的半导体基板制造。在某些实施方式中,当NMOS晶体管形成在基板102上时,基板102可以包括以上描述的III-V族材料之一。在某些其它的实施方式中,当PMOS晶体管形成在基板102上时,基板102的至少一部分可以包括Ge。在另一些实施方式中,基板102可以具有绝缘体上硅(SOI)结构。基板102可以包括导电区域,例如掺杂有杂质的阱或掺杂有杂质的结构。
在某些实施方式中,多个纳米片N1、N2和N3可以包括单一材料。在某些实施方式中,多个纳米片N1、N2和N3可以由与基板102相同的材料形成。
覆盖第一沟槽T1的内壁的绝缘衬层114A可以包括氧化物层、SiN(硅氮化物)、SiON(硅氧氮化物)、SiBN(硅硼氮化物)、SiC(碳化硅)、SiC:H、SiCN、SiCN:H、SiOCN、SiOCN:H、SiOC(硅碳氧化物)、SiO2(二氧化硅)、多晶硅或其组合。在某些实施方式中,绝缘衬层114A可以具有在从约
Figure BDA0001127655090000061
至约
Figure BDA0001127655090000062
的范围内的厚度。
在某些实施方式中,间隙填充绝缘层114B可以包括氧化物层。在某些实施方式中,间隙填充绝缘层114B可以包括通过沉积工艺或涂覆工艺形成的氧化物层。在某些实施方式中,间隙填充绝缘层114B可以包括通过可流动化学气相沉积(FCVD)或旋涂工艺形成的氧化物层。例如,间隙填充绝缘层114B可以包括氟化硅酸盐玻璃(FSG)、非掺杂的硅酸盐玻璃(USG)、硼磷硅酸盐玻璃(BPSG)、磷硅酸盐玻璃(PSG)、可流动氧化物(FOX)、等离子体增强四乙基原硅酸盐(PE-TEOS)或东燃硅氮烷(TOSZ),但是不限于此。
填充在第二沟槽T2中的器件隔离层116可以包括氧化物层、氮化物层或其组合。在某些实施方式中,器件隔离层116和间隙填充绝缘层114B可以包括相同的材料。
栅极介电层145可以具有界面层和高介电层的堆叠结构。界面层可以修复多个鳍型有源区域FA的上表面104和多个纳米片N1、N2和N3的表面与高介电层的表面之间的界面缺陷。在某些实施方式中,界面层可以包括具有9或更低的介电常数的低介电材料层,诸如硅氧化物层、硅氧氮化物层或其组合。在某些其它的实施方式中,界面层可以包括硅酸盐、硅酸盐和硅氧化物层的组合、或者硅酸盐和硅氧氮化物层的组合。在某些实施方式中,界面层可以被省略。高介电层可以包括具有比硅氧化物层的介电常数大的介电常数的材料。例如,高介电层可以具有约10至约25的介电常数。高介电层可以包括选自铪氧化物、铪氧氮化物、铪硅氧化物、镧氧化物、镧铝氧化物、锆氧化物、锆硅氧化物、钽氧化物、钛氧化物、钡锶钛氧化物、钡钛氧化物、锶钛氧化物、钇氧化物、铝氧化物、铅钪钽氧化物、铅锌铌酸盐及其组合,但是不限于此。高介电层可以通过原子层沉积(ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)工艺形成。高介电层可以具有在从约
Figure BDA0001127655090000071
至约
Figure BDA0001127655090000072
的范围内的厚度,但是不限于此。
栅极150可以包括用于调节功函数的包含金属的层以及形成在用于调节功函数的包含金属的层的上部上的用于填充间隙的包含金属的层。在某些实施方式中,栅极150可以具有这样的结构,其中金属氮化物层、金属层、导电覆盖层和间隙填充金属层被顺序堆叠。金属氮化物层和金属层可以每个包括选自Ti、W、Ru、Nb、Mo、Hf、Ni、Co、Pt、Yb、Tb、Dy、Er和Pd中的至少一种金属材料。金属氮化物层和金属层可以通过ALD工艺、金属有机ALD(MOALD)工艺或金属有机CVD(MOCVD)工艺形成。导电覆盖层可以用作保护层,用于防止或减少金属层的表面的氧化。此外,导电覆盖层可以用作浸润层,使另一个导电层在金属层上的沉积容易。导电覆盖层可以包括金属氮化物层,例如TiN、TaN或其组合,但是不限于此。间隙填充金属层可以在导电覆盖层上延伸。间隙填充金属层可以包括W层。间隙填充金属层可以通过ALD、CVD或PVD工艺形成。间隙填充金属层可以嵌入由导电覆盖层的上表面上的区域之间的台阶形成的凹陷空间而没有空洞。在某些实施方式中,栅极150可以包括TiAlC/TiN/W的堆叠结构、TiN/TaN/TiAlC/TiN/W的堆叠结构或者TiN/TaN/TiN/TiAlC/TiN/W的堆叠结构。在上面的堆叠结构中,TiAlC层或TiN层可以用作调节功函数的包含金属的层。
多个源极和漏极区域162可以形成在鳍型有源区域FA上。多个源极和漏极区域162可以分别连接到多个纳米片N1、N2和N3的与该多个源极和漏极区域162相邻的端部。
多个源极和漏极区域162可以包括从多个纳米片N1、N2和N3外延生长的半导体层162A。源极和漏极区域162可以具有嵌入的SiGe结构,该嵌入的SiGe结构包括外延生长的Si层、外延生长的SiC层和外延生长的多个SiGe层。多个源极和漏极区域162还可以包括形成在半导体层162A上的金属硅化物层162B。在某些实施方式中,金属硅化物层162B可以包括钛硅化物,但是不限于此。在某些实施方式中,金属硅化物层162B可以被省略。
顺序覆盖栅极150的侧壁的绝缘衬层134、第一绝缘间隔物136和保护层138可以形成在多个纳米片堆叠结构NSS上。保护层138可以延伸以覆盖多个源极和漏极区域162。绝缘衬层134、第一绝缘间隔物136和保护层138可以包括硅氮化物层,但是不限于此。在某些实施方式中,保护层138可以被省略。
绝缘衬层134、第一绝缘间隔物136和保护层138可以覆盖包括在栅极150中的主栅极部分150M的侧壁。
接触源极和漏极区域162的第二绝缘间隔物140可以形成在多个纳米片N1、N2和N3之间的空间中。第二绝缘间隔物140可以设置在多个纳米片N1、N2和N3之间的空间中且在子栅极部分150S与源极和漏极区域162之间。第二绝缘间隔物140可以覆盖多个子栅极部分150S中的至少一些的侧壁。在图1B所示的集成电路器件100中,三个子栅极部分150S当中的除了最靠近鳍型有源区域FA的子栅极部分150S之外的两个子栅极部分150S的两个侧壁可以被第二绝缘间隔物140覆盖。如图1B所示,三个子栅极部分150S当中的最靠近鳍型有源区域FA的子栅极部分150S的两个侧壁可以由覆盖鳍型有源区域FA的上表面104的缓冲半导体层106覆盖。缓冲半导体层106可以包括与鳍型有源区域FA和多个纳米片N1、N2和N3的材料不同的材料。例如,鳍型有源区域FA可以包括Si,缓冲半导体层106可以包括Ge。
第一绝缘间隔物136和第二绝缘间隔物140可以包括不同的材料。在某些实施方式中,第一绝缘间隔物136可以包括硅氮化物层,第二绝缘间隔物140可以包括硅氮化物层,还包括氧(O)原子、硼(B)原子、碳(C)原子或包括其组合的原子。在某些其它的实施方式中,第一绝缘间隔物136可以包括无法在其表面上进行半导体原子的引晶(seeding)和外延生长的绝缘层,第二绝缘间隔物140可以包括在其表面的至少一部分上可进行半导体原子的引晶和外延生长的绝缘层。例如,第一绝缘间隔物136可以包括SiN层,第二绝缘间隔物140可以包括SiON层。SiON层可以形成为与源极和漏极区域162的半导体层162A接触。
在某些实施方式中,多个第二绝缘间隔物140中的至少一些可以包括空气间隔(air space)。
多个第二绝缘间隔物140可以每个具有多层结构。在某些实施方式中,多个第二绝缘间隔物140可以每个包括选自空气间隔、SiN、SiCN、SiBN、SiON、SiOCN、SiBCN、SiOC和SiO2中的至少一种绝缘体。例如,多个第二绝缘间隔物140可以每个具有至少三层结构。至少三层结构的一部分可以为空气间隔。
图2A至图2C是通过放大与图1B中的区域II对应的部分的各种多层结构的第二绝缘间隔物140A、140B和140C的构造的截面图,第二绝缘间隔物140A、140B和140C可以用作根据本发明构思的实施方式的集成电路器件100的第二绝缘间隔物140。
如图2A所示,第二绝缘间隔物140A可以包括第一衬层142A、第二衬层144A和空气间隔AS1。
第一衬层142A可以具有面对栅极150的子栅极部分150S的表面和面对多个纳米片N1、N2和N3中的至少一个的表面,并可以包括不包含氧的第一绝缘材料。
第二衬层144A可以与子栅极部分150S和纳米片N1、N2和N3间隔开而使第一衬层142A插设在其间,并可以包括与第一绝缘材料不同的第二绝缘材料。
空气间隔AS1可以由第二衬层144A限定。
在某些实施方式中,第一衬层142A可以包括SiN、SiCN和SiBN之一,第二衬层144A可以包括SiON、SiOCN和SiBCN之一。
在某些实施方式中,构成第一衬层142A的第一绝缘材料可以不包括氧,构成第二衬层144A的第二绝缘材料可以具有在从约0至约50原子%的范围内的氧含量。
如图2B所示,第二绝缘间隔物140B可以包括第一衬层142B、第二衬层144B和埋入层146B。
第一衬层142B可以具有面对栅极150的子栅极部分150S的表面和面对多个纳米片N1、N2和N3中的至少一个的表面,并可以包括不包含氧的第一绝缘材料。
第二衬层144B可以与子栅极部分150S和纳米片N1、N2和N3间隔开而使第一衬层142B插设在其间,并可以包括与第一绝缘材料不同的第二绝缘材料。
埋入层146B可以填充由第二衬层142B限定的空间的至少一部分,并可以包括与第二绝缘材料不同的第三绝缘材料。
在某些实施方式中,第一衬层142B可以包括SiN、SiCN和SiBN之一,第二衬层144B和埋入层146B可以包括选自SiON、SiOCN和SiBCN的不同材料。
在某些实施方式中,构成第一衬层142B的第一绝缘材料可以不包括氧,并且分别构成第二衬层144B和埋入层146B的第二绝缘材料和第三绝缘材料可以具有在从约0至约50原子%的范围内的氧含量。
如图2C所示,第二绝缘间隔物140C可以包括第一衬层142C、第二衬层144C、空气间隔AS2和部分埋入层146C。
第一衬层142C可以具有面对栅极150的子栅极部分150S的表面和面对多个纳米片N1、N2和N3中的至少一个的表面,并可以包括不包含氧的第一绝缘材料。
第二衬层144C可以与子栅极部分150S和纳米片N1、N2和N3间隔开而使第一衬层142C插设在其间,并可以包括与第一绝缘材料不同的第二绝缘材料。
空气间隔AS2可以由第二衬层144C部分地限定。
部分埋入层146C与第二衬层144C一起可以限定空气间隔AS2。
在某些实施方式中,第一衬层142C可以包括SiN、SiCN和SiBN之一,第二衬层144C和部分埋入层146C可以包括选自SiON、SiOCN和SiBCN的不同材料。
在某些实施方式中,构成第一衬层142C的第一绝缘材料可以不包括氧,构成第二衬层144C和部分埋入层146C的材料可以具有在从约0至约50原子%的范围内的氧含量。
参照图1A至图1C,栅极间绝缘层172和层间绝缘层174可以顺序地形成在多个源极/漏极区域162上。栅极间绝缘层172和层间绝缘层174可以包括硅氮化物层,但是不限于此。
接触插塞190可以连接到多个源极和漏极区域162中的每个。接触插塞190可以穿过层间绝缘层174、栅极间绝缘层172和保护层138,并可以连接到多个源极和漏极区域162。金属硅化物层162B可以设置在半导体层162A和接触插塞190之间。接触插塞190可以包括金属、导电金属氮化物或其组合。例如,接触插塞190可以包括W、Cu、Al、Ti、Ta、TiN、TaN、其合金或其组合,但是不限于此。本发明构思的实施方式不限于以上的材料。
以上参照图1A至图2C描述的集成电路器件100可以包括在形成于鳍型有源区域FA上的多个纳米片N1、N2和N3之间的空间中接触源极和漏极区域162的多个第二绝缘间隔物140。多个第二绝缘间隔物140可以形成为多层结构或者可以形成为包括空气间隔,因此可以减小栅极150的存在于多个纳米片N1、N2和N3之间的空间中的子栅极部分150S与源极和漏极区域162之间的电容,从而减小有效开关电容Ceff。
图3是根据本发明构思的另一些实施方式的集成电路器件200的截面图。图3所示的集成电路器件200可以具有与图1A所示的平面布局图中示出的相同的布局。图3示出沿着图1A的线X-X’剖取的截面图,相同的附图标记用于表示与图1A至图2C中的相同的元件,并省略其详细描述。
图3所示的集成电路器件200可以大体具有与图1A至图1C所示的集成电路器件100相同的构造,除了集成电路器件200可以不包括图1B所示的缓冲半导体层106之外。在集成电路器件200中,子栅极部分150S也可以不仅形成在多个纳米片N1、N2和N3之间的空间中,而且可以形成在鳍型有源区域FA和纳米片N1之间的空间中。多个第二绝缘间隔物140和多个第二绝缘间隔物240可以包括形成在多个纳米片N1、N2和N3之间的空间中的多个第二绝缘间隔物140以及形成在鳍型有源区域FA和纳米片N1之间的空间中与源极和漏极区域162接触的第二绝缘间隔物240。第二绝缘间隔物140和240可以设置在鳍型有源区域FA的上表面104和多个纳米片N1、N2和N3之间的空间中且在子栅极部分150S与源极和漏极区域162之间。第二绝缘间隔物140和240可以覆盖多个子栅极部分150S的侧壁。第二绝缘间隔物140和240当中的最靠近基板102的第二绝缘间隔物240的厚度可以大于其它第二绝缘间隔物140的厚度。第二绝缘间隔物240的更详细的构造总体上与参照图1A至图2C描述的第二绝缘间隔物140的构造相同。
图4A至图4C是通过放大与图3所示的区域IV对应的部分的各种多层结构的第二绝缘间隔物140A、140B、140C、240A、240B和240C的构造的截面图,该各种多层结构的第二绝缘间隔物140A、140B、140C、240A、240B和240C可以用作根据另一些实施方式的集成电路器件200的第二绝缘间隔物140和240。在图4A至图4C中,相同的附图标记用于表示图1A至图3中相同的元件,并省略其详细描述。
图4A的第二绝缘间隔物240A可以包括第一衬层242A、第二衬层244A和空气间隔AS21。
第一衬层242A可以具有面对栅极150的多个子栅极部分150S当中的最靠近鳍型有源区域FA的子栅极部分150S的表面、面对多个纳米片N1、N2和N3当中的最靠近鳍型有源区域FA的纳米片N1的表面、以及面对鳍型有源区域FA的表面,并可以包括不包含氧的第一绝缘材料。
第二衬层244A可以与鳍型有源区域FA、子栅极部分150S和纳米片N1间隔开而使第一衬层242A插设在其间,并可以包括与第一绝缘材料不同的第二绝缘材料。
空气间隔AS21可以由第二衬层244A限定。
第一衬层242A和第二衬层244A的更详细的描述总体上与参照图2A关于第一衬层142A和第二衬层144A描述的相同。
图4B的第二绝缘间隔物240B可以包括第一衬层242B、第二衬层244B和埋入层246B。
第一衬层242B可以具有面对栅极150的多个子栅极部分150S当中的最靠近鳍型有源区域FA的子栅极部分150S的表面、面对多个纳米片N1、N2和N3当中的最靠近鳍型有源区域FA的纳米片N1的表面、以及面对鳍型有源区域FA的表面,并可以包括不包含氧的第一绝缘材料。
第二衬层244B可以与鳍型有源区域FA、子栅极部分150S和纳米片N1间隔开而使第一衬层242B插设在其间,并可以包括与第一绝缘材料不同的第二绝缘材料。
埋入层246B可以填充由第二衬层242B限定的空间的至少一部分,并可以包括与第二绝缘材料不同的第三绝缘材料。
第一衬层242B、第二衬层244B和埋入层246B的更详细的描述与参照图2B关于第一衬层142b、第二衬层144B和埋入层146B所描述的总体上相同。
图4C的第二绝缘间隔物240C可以包括第一衬层242C、第二衬层244C、空气间隔AS22和部分埋入层246C。
第一衬层242C可以具有面对栅极150的多个子栅极部分150S当中的最靠近鳍型有源区域FA的子栅极部分150S的表面、面对多个纳米片N1、N2和N3当中的最靠近鳍型有源区域FA的纳米片N1的表面、以及面对鳍型有源区域FA的表面,并可以包括不包含氧的第一绝缘材料。
第二衬层244C可以与鳍型有源区域FA、子栅极部分150S和纳米片N1间隔开而使第一衬层242C插设在其间,并可以包括与第一绝缘材料不同的第二绝缘材料。
空气间隔AS22可以由第二衬层244C部分地限定。
部分埋入层246C与第二衬层244C一起可以限定空气间隔AS22。
第一衬层242C、第二衬层244c、空气间隔AS22和部分埋入层246C的更详细的描述与参照图2C关于第一衬层142C、第二衬层144C、空气间隔AS2和部分埋入层146C所描述的总体上相同。
以上参照图3至图4C描述的集成电路器件200可以包括接触源极和漏极区域162的多个第二绝缘间隔物140和240,该多个第二绝缘间隔物140和240不仅在多个纳米片N1、N2和N3之间的空间中而且在鳍型有源区域FA和纳米片N1之间的空间中。多个第二绝缘间隔物140和240的每个可以形成为多层结构,或者多个第二绝缘间隔物140和240中的一些可以配置为空气间隔,因此可以减小栅极150的存在于鳍型有源区域FA和多个纳米片N1、N2和N3之间的空间中的子栅极部分150S与源极和漏极区域162之间的电容,从而减小有效开关电容Ceff。
图5至图26是示出根据本发明构思的实施方式的基于工艺顺序的制造集成电路器件100方法的截面图。制造图1A至图1C所示的集成电路器件100的方法将参照图5至图26描述。在图5至图26中,图5、6A、7A、8A、9A、10A、11A、12至14、15A、16A和17至26是与沿着图1A的线X-X'剖取的截面对应的部分的截面图,图6B、7B、8B、9B、10B和11B是与沿着图1A的线Y-Y'剖取的截面对应的部分的截面图。在图5至图26中,相同的附图标记用于表示与图1A至图2C中相同的元件,并省略其详细描述。
参照图5,多个牺牲半导体层106S和多个纳米片半导体层NS可以交替地堆叠在基板102上。
多个牺牲半导体层106S和多个纳米片半导体层NS可以包括不同的半导体材料。在某些实施方式中,多个牺牲半导体层106S可以包括SiGe,并且多个纳米片半导体层NS可以包括Si,但是本发明构思的实施方式不限于此。
多个牺牲半导体层106S当中的最靠近基板102的牺牲半导体层106S的厚度可以大于其它牺牲半导体层106S的厚度,但是不限于此。例如,牺牲半导体层106S可以具有相同的厚度。
参照图6A和图6B,掩模图案MP可以形成在多个牺牲半导体层106S和多个纳米片半导体层NS的堆叠结构上。
掩模图案MP可以包括彼此平行地在一个方向(X方向)上延伸的多个线图案。
掩模图案MP可以包括焊盘氧化物层图案512和硬掩模图案514。硬掩模图案514可以包括硅氮化物、多晶硅、旋涂硬掩模(SOH)材料或其组合,但是不限于此。在某些实施方式中,SOH材料可以包括具有相对于SOH材料的总重量的在从约85w%至约99w%的范围内的相对高的碳含量的碳氢化合物或其衍生物。
参照图7A和图7B,牺牲半导体层106S和多个纳米片半导体层NS的堆叠结构可以通过采用掩模图案MP作为蚀刻掩模而形成,并且多个第一沟槽T1可以通过蚀刻基板102的一部分而形成。结果,可以形成由多个第一沟槽T1限定的多个鳍型有源区域FA。
在形成多个鳍型有源区域FA之后,牺牲半导体层106S和多个纳米片半导体层NS的堆叠结构可以保留在多个鳍型有源区域FA上。
参照图8A和图8B,包括绝缘衬层114A和间隙填充绝缘层114B的STI层114可以形成在多个第一沟槽T1中。
参照图9A和图9B,限定器件区域DR(见图1A)的第二沟槽T2可以通过从由多个鳍型有源区域FA和STI层114形成的所得结构蚀刻部分结构而形成,并且器件隔离层116可以形成在第二沟槽T2中。
参照图10A和图10B,保留在多个牺牲半导体层106S和多个纳米片半导体层NS的堆叠结构上的掩模图案MP可以被去除,并且可以执行凹陷工艺以去除STI层114和器件隔离层116的等于其部分厚度的上部。
凹陷工艺可以对STI层114和器件隔离层116的每个的上表面进行至与鳍型有源区域FA的上表面104的水平面大致相同或类似。结果,可以暴露存在于多个鳍型有源区域FA上的牺牲半导体层106S和多个纳米片半导体层NS的堆叠结构的侧壁。
干蚀刻、湿蚀刻或干蚀刻和湿蚀刻的组合可以用于进行凹陷工艺。
在某些实施方式中,在去除掩模图案MP之后,在执行凹陷工艺以去除STI层114的上部和器件隔离层116的上部之前,用于调整阈值电压而注入杂质离子的杂质离子注入工艺可以对多个纳米片半导体层NS的上部和多个鳍型有源区域FA的上部进行。在某些实施方式中,在用于调整阈值电压而注入杂质离子的杂质离子注入工艺期间,硼(B)离子可以作为杂质注入到其中形成NMOS晶体管的区域中,并且磷(P)或砷(As)离子可以作为杂质注入到其中形成PMOS晶体管的区域中。
参照图11A和图11B,延伸跨过多个鳍型有源区域FA的多个虚设栅极结构DGS可以形成在多个鳍型有源区域FA上。
虚设栅极结构DGS可以具有其中氧化物层D152、虚设栅极层D154和覆盖层D156被顺序堆叠的结构。在形成虚设栅极结构DGS的示例中,氧化物层D152、虚设栅极层D154和覆盖层D156可以顺序地形成以分别覆盖多个牺牲半导体层106S和多个纳米片半导体层NS(覆盖多个鳍型有源区域FA)的堆叠结构的暴露表面、STI层114的上表面和器件隔离层116的上表面,然后被图案化,因此氧化物层D152、虚设栅极层D154和覆盖层D156可以仅保留在需要的地方。虚设栅极结构DGS可以形成为具有与图1A所示的栅极150的平面形状对应的平面形状。
在某些实施方式中,虚设栅极层D154可以包括多晶硅,并且覆盖层D156可以包括硅氮化物层,但是本发明构思的实施方式不限于此。
参照图12,绝缘衬层134可以形成为覆盖虚设栅极结构DGS的暴露表面、多个牺牲半导体层106S和多个纳米片半导体层NS的堆叠结构的暴露表面、以及STI层114和器件隔离层116的每个的上表面。
在某些实施方式中,绝缘衬层134可以包括硅氮化物层。
在某些实施方式中,在形成绝缘衬层134之后,晕环注入区域(halo implantationregion)可以通过在多个纳米片半导体层NS中注入杂质离子而形成在多个纳米片半导体层NS中。为了形成晕环注入区域,硼(B)离子可以作为杂质被注入到其中形成NMOS晶体管的区域中,磷(P)或砷(As)离子可以作为杂质被注入到其中形成PMOS晶体管的区域中。
参照图13,可以形成覆盖虚设栅极结构DGS的两个侧壁的第一绝缘间隔物136,多个牺牲半导体层106S和多个纳米片半导体层NS的堆叠结构的一部分可以采用虚设栅极结构DGS和第一绝缘间隔物136作为蚀刻掩模通过蚀刻而去除,并且包括多个纳米片N1、N2和N3的多个纳米片堆叠结构NSS可以由多个纳米片半导体层NS形成。
为了形成第一绝缘间隔物136,在包括硅氮化物层的间隔物层可以形成在图12的其中形成绝缘衬层134的所得结构上之后,第一绝缘间隔物136可以通过再次回蚀刻该间隔物层而保留。
当蚀刻多个牺牲半导体层106S和多个纳米片半导体层NS的堆叠结构时,蚀刻工艺可以利用多个牺牲半导体层106S当中的最下层的牺牲半导体层106S被暴露的时刻作为蚀刻结束时刻而进行。因此,在形成多个纳米片堆叠结构NSS之后,覆盖鳍型有源区域FA的牺牲半导体层106S可以暴露在多个纳米片堆叠结构NSS之间。在形成多个纳米片堆叠结构NSS之后,牺牲半导体层106S可以保留在鳍型有源区域FA和多个纳米片堆叠结构NSS之间以及在多个纳米片N1、N2和N3之间。
参照图14,通过去除暴露在多个纳米片堆叠结构NSS的每个的两侧处的多个牺牲半导体层106S的某些,各向同性蚀刻工艺可以用于形成多个纳米片N1、N2和N3之间的凹陷区域106R。
在形成凹陷区域106R期间,覆盖鳍型有源区域FA的最下面的牺牲半导体层106S的暴露部分的上表面的一部分可以在多个纳米片N1、N2和N3之间去除。
在某些实施方式中,用于形成凹陷区域106R的各向同性蚀刻工艺可以通过湿蚀刻工艺进行,该湿蚀刻工艺利用多个牺牲半导体层106S和多个纳米片堆叠结构NSS之间的蚀刻选择性上的差异。
参照图15A,可以形成包括多个第二绝缘间隔物140的绝缘结构140L,多个第二绝缘间隔物140填充多个纳米片堆叠结构NSS之间形成的凹陷区域106R(见图14)。
在某些实施方式中,绝缘结构140L可以包括多个绝缘层。
图15B至图15D是通过放大与图15A的区域P1对应的部分的各种绝缘结构140L1、140L2和140L3的截面图,该各种绝缘结构140L1、140L2和140L3可用作包括多个第二绝缘间隔物140的绝缘结构140L。
在某些实施方式中,为了形成图15A所示的包括第二绝缘间隔物140的绝缘结构140L,可以形成图15B所示的包括第二绝缘间隔物140A的绝缘结构140L1。
绝缘结构140L1可以包括从凹陷区域106R(见图14)的内侧壁顺序形成的第一衬层142A和第二衬层144A。绝缘结构140L1还可以包括在凹陷区域106R中的由第二衬层144A限定的空气间隔AS1。
为了形成第一衬层142A和第二衬层144A,可以采用ALD工艺、CVD工艺、氧化工艺或其组合。为了在凹陷区域106R中形成由第二衬层144A限定的空气间隔AS1,在用于形成第二衬层144A的沉积工艺期间可以控制台阶覆盖特性。第二衬层144A可以形成为包括在多个纳米片堆叠结构NSS之间的接触第一衬层142A的部分以及在多个纳米片堆叠结构NSS之间的与第一衬层142A间隔开且在其间具有空气间隔AS1的部分。
第一衬层142A和第二衬层144A的更详细的描述与以上参照图2A描述的相同。
在某些其它的实施方式中,为了形成图15A所示的包括第二绝缘间隔物140的绝缘结构140L,可以形成图15C所示的包括第二绝缘间隔物140B的绝缘结构140L2。
绝缘结构140L2可以包括从凹陷区域106R(见图14)的内侧壁顺序形成的第一衬层142B、第二衬层144B和埋入层146B。第一衬层142B、第二衬层144B和埋入层146B可以包括不同的材料。
为了形成第一衬层142B、第二衬层144B和埋入层146B,可以采用ALD工艺、CVD工艺、氧化工艺或其组合。
第一衬层142B、第二衬层144B和埋入层146B的更详细的描述与以上参照图2B描述的相同。
在某些其它的实施方式中,为了形成图15A所示的包括第二绝缘间隔物140的绝缘结构140L,可以形成图15D所示的包括第二绝缘间隔物140C的绝缘结构140L3。
绝缘结构140L3可以包括从凹陷区域106R(见图14)的内侧壁顺序形成的第一衬层142C、第二衬层144C和部分埋入层146C。绝缘结构140L3还可以包括在凹陷区域106R中的由第二衬层144C和部分埋入层146C限定的空气间隔AS2。
为了形成第一衬层142C、第二衬层144C和部分埋入层146C,可以采用ALD工艺、CVD工艺、氧化工艺或其组合。
在形成空气间隔AS2的示例中,在用于形成部分埋入层146C的沉积工艺期间可以控制台阶覆盖特性,使得空气间隔AS2可以保留在凹陷区域106R中。
第一衬层142C、第二衬层144C和部分埋入层146C的更详细的描述与以上参照图2C描述的相同。
参照图16A,填充凹陷区域106R的第二绝缘间隔物140可以通过去除绝缘结构140L(见图15A)在凹陷区域106R(见图14)之外的部分而保留。
图16B至图16D是通过放大与图16A的区域P2对应的部分在去除绝缘结构140L在凹陷区域106R(见图14)之外的部分之后获得的各种构造的截面图。
在某些实施方式中,如图16B所示,在去除绝缘结构140L在凹陷区域106R(见图14)之外的部分之后保留在凹陷区域106R(见图14)中的第二绝缘间隔物140A可以包括第一衬层142A和第二衬层144A。第二绝缘间隔物140A还可以包括由第一衬层142A和第二衬层144A限定的空气间隔AS1。
在某些实施方式中,如图16C所示,在去除绝缘结构140L在凹陷区域106R(见图14)之外的部分之后保留在凹陷区域106R(见图14)中的第二绝缘间隔物140B可以包括第一衬层142B、第二衬层144B和埋入层146B。
在某些实施方式中,如图16D所示,在去除绝缘结构140L在凹陷区域106R(见图14)之外的部分之后保留在凹陷区域106R(见图14)中的第二绝缘间隔物140C可以包括第一衬层142C、第二衬层144C和部分埋入层146C。第二绝缘间隔物140C还可以包括由第二衬层144C和部分埋入层146C限定的空气间隔AS2。
参照图16A,在去除图15A所示的绝缘结构140L在凹陷区域106R(见图14)之外的部分之后,可以暴露纳米片N1、N2和N3的两个侧壁、多个第二绝缘间隔物140和多个牺牲半导体层106S当中的最下层的牺牲半导体层106S。
参照图17,纳米片N1、N2和N3的暴露侧壁和多个牺牲半导体层106S当中的最下层的牺牲半导体层106S的暴露表面可以暴露在清洁环境148中,因此自然氧化物层可以从暴露的两个侧壁和暴露的表面去除。
在某些实施方式中,采用湿清洁工艺的第一清洁工艺、采用SiCoNiTM蚀刻工艺的第二清洁工艺或其组合可以用作清洁环境148。在湿清洁工艺期间,可以采用DHF(稀释的HF)、NH4OH、TMAH(氢氧化四甲基铵)、KOH(氢氧化钾)溶液等。SiCoNiTM蚀刻工艺可以采用氨NH3的氢源和三氟化氮NF3的氟源进行。
在用于去除自然氧化层的清洁工艺期间,构成第二绝缘间隔物140的绝缘层(具体地,暴露到清洁环境148的绝缘层)可以配置为相对于清洁环境148具有耐蚀刻性的材料,因此第二绝缘间隔物140可以在清洁环境148下去除自然氧化物层期间不损耗。构成第二绝缘间隔物140的适当绝缘材料的更多细节与参照图2A至图2C描述的相同。
参照图18,用于形成源极和漏极区域162(见图1B)的半导体层162A可以通过从多个纳米片N1、N2和N3的从其去除自然氧化物层的两个暴露的侧壁外延生长半导体材料而形成。
如以上参照图1A至图1C所述的,第一绝缘间隔物136可以包括在其表面上无法进行半导体原子的引晶和外延生长的绝缘层,并且第二绝缘间隔物140可以包括在其表面的至少一部分上可以进行半导体原子的引晶和外延生长的绝缘层,因此用于形成半导体层162A的外延生长工艺不仅可以在多个纳米片N1、N2和N3的暴露的两个侧壁上而且可以在第二绝缘间隔物140的表面上进行,从而促进半导体层162A的形成并形成具有良好特性而没有空隙的半导体层162A。
参照图19,可以形成保护层138,保护层138覆盖其中形成半导体层162A的所得结构。
在某些实施方式中,保护层138可以包括硅氮化物层。为了形成保护层138,可以采用ALD工艺或CVD工艺。
参照图20,在栅极间绝缘层172形成在保护层138上之后,覆盖层D156的上表面可以通过平坦化栅极间绝缘层172而暴露。
参照图21,覆盖虚设栅极层D154的上表面的覆盖层D156(见图20)、围绕覆盖层D156的绝缘衬层134、第一绝缘间隔物136和保护层138可以被回蚀刻,并且栅极间绝缘层172的上部可以被抛光至等于其部分厚度的深度,使得栅极间绝缘层172的上表面可以位于与虚设栅极层D154的上表面的水平面大致相同的水平面。
参照图22,可以去除通过栅极间绝缘层172暴露的虚设栅极层D154以及存在于虚设栅极层D154之下的氧化物层D152,使得纳米片N3可以通过栅极间隔GS暴露。
参照图23,可以去除多个牺牲半导体层106S的保留在鳍型有源区域FA上的部分,使得多个纳米片N1、N2和N3和鳍型有源区域FA的上表面104可以通过栅极间隔GS部分地暴露。
多个牺牲半导体层106S当中的最下层的牺牲半导体层106S可以不被完全去除,使得牺牲半导体层106S的一部分可以在第二绝缘间隔物140的下部保留在鳍型有源区域FA上。牺牲半导体层106S的保留在鳍型有源区域FA上的部分可以构成缓冲半导体层106。
参照图24,在从多个纳米片N1、N2和N3和鳍型有源区域FA的暴露表面去除自然氧化物层之后,栅极介电层145可以形成在由栅极间隔GS(见图23)暴露的表面上,并且覆盖栅极间绝缘层172的栅极形成导电层150L可以在填充栅极间隔GS时形成在栅极介电层145上。
参照图25,可以去除栅极形成导电层150L(见图24)的上表面的一部分直到暴露栅极间绝缘层172的上表面,并且可以形成填充栅极间隔GS的栅极150。
栅极150可以包括:主栅极部分150M,覆盖包括多个纳米片N1、N2和N3的纳米片堆叠结构NSS的上表面;以及多个子栅极部分150S,连接到主栅极部分150M并形成在多个纳米片N1、N2和N3之间的空间中。
参照图26,在形成覆盖栅极150和栅极间绝缘层172的层间绝缘层174之后,层间绝缘层174和栅极间绝缘层172可以被部分地蚀刻,从而可以形成暴露多个半导体层162A的多个接触孔190H。之后,金属硅化物层162B可以形成在多个半导体层162A的通过多个接触孔190H暴露的上表面上,并且可以形成通过金属硅化物层162B分别连接到半导体层162A的多个接触插塞190,因此可以形成图1A至图1C所示的集成电路器件100。
以上参照图5至图26描述的制造集成电路器件100的方法可以用于形成集成电路器件100,该集成电路器件100在多个纳米片N1、N2和N3之间的空间中包括接触源极和漏极区域162的多个第二绝缘间隔物140。具体地,多个第二绝缘间隔物140可以每个形成为多层结构,或者多个第二绝缘间隔物140可以根据需要形成为包括空气间隔。因此,可以减小栅极150的子栅极部分150S与源极和漏极区域162的半导体层162A之间的电容,从而容易实现可减小有效开关电容Ceff的结构。
图27至图31是示出根据本发明构思的另一些实施方式的基于工艺顺序的制造集成电路器件200的方法的截面图。现在将参照图27至图31描述制造图3所示的集成电路器件200的方法。在图27至图31中,图27、28、29A、30A和31是与沿着图3的线X-X'剖取的截面对应的部分的截面图。在图27至图31中,相同的附图标记用于表示图1A至图26中的相同的元件,并省略其详细描述。
参照图27,可以进行参照图5至图13描述的工艺以在鳍型有源区域FA上形成包括多个纳米片N1、N2和N3的多个纳米片堆叠结构NSS。
然而,与参照图13描述的不同,在本示例中,当蚀刻多个牺牲半导体层106S和多个纳米片半导体层NS的堆叠结构时,可以进行蚀刻工艺直到暴露鳍型有源区域FA的上表面。因此,在形成多个纳米片堆叠结构NSS之后,鳍型有源区域FA可以暴露在多个纳米片堆叠结构NSS之间。
参照图28,以与以上参照图14描述的相同的方式,可以去除暴露在多个纳米片堆叠结构NSS的每个的两侧的多个牺牲半导体层106S的某些,因此凹陷区域106R可以形成在多个纳米片堆叠结构NSS之间。然而,在本示例中,额外凹陷区域106R可以形成在多个纳米片堆叠结构NSS中的最下层的纳米片N1和鳍型有源区域FA之间。
参照图29A,以与参照图15A描述的类似的方式,可以形成包括填充凹陷区域106R(见图28)的多个第二绝缘间隔物140和240的绝缘结构140L。形成在最下层的纳米片N1和鳍型有源区域FA之间的凹陷区域106R中的第二绝缘间隔物240的厚度可以大于形成在多个纳米片N1、N2和N3之间的凹陷区域106R中的第二绝缘间隔物140的厚度。
图29B至图29D是通过放大与图29A的区域P3对应的部分的各种绝缘结构140L1、140L2和140L3的截面图,该各种绝缘结构140L1、140L2和140L3可以用作包括多个第二绝缘间隔物140和240的绝缘结构140L。
在某些实施方式中,为了形成图29A所示的包括第二绝缘间隔物140和240的绝缘结构140L,以与参照图15B描述的类似的方式,可以形成图29B所示的包括第二绝缘间隔物140A和240A的绝缘结构140L1。
绝缘结构140L1可以形成为在多个纳米片N1、N2和N3之间的空间以及在鳍型有源区域FA的上表面和多个纳米片N1、N2和N3当中的最下层的纳米片N1之间的空间中包括空气间隔AS1。在绝缘结构140L1中,形成在多个纳米片N1、N2和N3当中的最下层的纳米片N1和鳍型有源区域FA之间的凹陷区域106R(见图28)中的第二绝缘间隔物240A可以具有与多个纳米片N1、N2和N3之间的第二绝缘间隔物140A总体上相同的构造。然而,第二绝缘间隔物240A的厚度可以大于第二绝缘间隔物140A的厚度。
在某些其它的实施方式中,为了形成图29A所示的包括第二绝缘间隔物140和240的绝缘结构140L,以与参照图15C描述的类似的方式,可以形成图29C所示的包括第二绝缘间隔物140B和240B的绝缘结构140L2。在绝缘结构140L2中,形成在多个纳米片N1、N2和N3当中的最下层的纳米片N1和鳍型有源区域FA之间的凹陷区域106R(见图28)中的第二绝缘间隔物240B可以具有与多个纳米片N1、N2和N3之间的第二绝缘间隔物140B总体上相同的构造。然而,第二绝缘间隔物240B的厚度可以大于第二绝缘间隔物140B的厚度。
在某些其它的实施方式中,为了形成图29A所示的包括第二绝缘间隔物140和240的绝缘结构140L,以与参照图15D描述的类似的方式,可以形成图29D所示的包括第二绝缘间隔物140C和240C的绝缘结构140L3。
绝缘结构140L3可以形成为在多个纳米片N1、N2和N3之间的空间以及在鳍型有源区域FA的上表面和多个纳米片N1、N2和N3当中的最下层的纳米片N1之间的空间中包括空气间隔AS2。在绝缘结构140L3中,形成在多个纳米片N1、N2和N3当中的最下层的纳米片N1和鳍型有源区域FA之间的凹陷区域106R(见图28)中的第二绝缘间隔物240C可以具有与多个纳米片N1、N2和N3之间的第二绝缘间隔物140C总体上相同的构造。然而,第二绝缘间隔物240C的厚度可以大于第二绝缘间隔物140C的厚度。
参照图30A,以与参照图16A描述的类似的方式,填充凹陷区域106R的第二绝缘间隔物140和240可以通过去除绝缘结构140L(见图29A)在凹陷区域106R(见图28)之外的部分而保留。
图30B至图30D是通过放大与图30A的区域P4对应的部分在去除绝缘结构140L在凹陷区域106R(见图28)之外的部分之后获得的各种构造的截面图。
参照图30B至图30D,第二绝缘间隔物140A、140B和140C可以形成在多个纳米片N1、N2和N3之间的凹陷区域106R(见图28)中,并且第二绝缘间隔物240A、240B和240C可以形成在多个纳米片N1、N2和N3当中的最下层的纳米片N1和鳍型有源区域FA之间的凹陷区域106R(见图28)中。
参照图31,可以在图30A的所得结构上进行参照图17至图26描述的工艺,因此可以形成集成电路器件200。
以上参照图27至图31描述的制造集成电路器件200的方法可以用于形成集成电路器件200,该集成电路器件200包括在多个纳米片N1、N2和N3之间的空间以及在多个纳米片N1、N2和N3当中的最下层的纳米片N1和鳍型有源区域FA之间的空间中的接触源极和漏极区域162的多个第二绝缘间隔物140和240。具体地,多个第二绝缘间隔物140和240的每个可以形成为多层结构,或者多个第二绝缘间隔物140和240可以形成为包括空气间隔,如果需要。因此,可以减小栅极150的子栅极部分150S与源极和漏极区域162之间的电容,从而实现用于减小有效开关电容Ceff的结构。
尽管以上参照图5至图31描述了制造图1A至图1C所示的集成电路器件100和图3所示的集成电路器件200的方法,但是本领域普通技术人员将理解,具有与集成电路器件100和200类似的结构的各种集成电路器件可以通过在本发明构思的范围内的各种修改和变化来制造。
参照图1A至图31描述了包括晶体管的集成电路器件以及制造该集成电路器件的方法,该晶体管具有形成在三维结构的鳍型有源区域上的纳米片沟道区域,但是本发明构思的实施方式不限于此。例如,本领域普通技术人员将理解,包括具有本发明构思的实施方式的特性的平面MOSFET的集成电路器件以及制造该集成电路器件的方法可以通过在本发明构思的范围内的各种修改和变化来提供。
图32是根据本发明构思的实施方式的电子装置1000的方框图。
参照图32,电子装置1000可以包括逻辑区域1010和存储器区域1020。
逻辑区域1010可以包括各种逻辑单元,该各种逻辑单元包括多个电路元件(例如晶体管、寄存器等)作为执行期望的逻辑功能的标准单元,例如计数器、缓冲器等。逻辑单元可以配置为实现这样的逻辑功能,例如AND、NAND、OR、NOR、XOR(异或)、XNOR(异或非)、INV(反相器)、ADD(加法器)、BUF(缓冲器)、DLY(延迟)、FILL(过滤器)、多路复用器(MXT/MXIT)、OAI(OR/AND/INVERTER)、AO(AND/OR)、AOI(AND/OR/INVERTER)、D触发器、复位触发器、主从触发器、锁存等。然而,根据本发明构思的实施方式的逻辑单元不限于以上的示例。
存储器区域1020可以包括SRAM、DRAM、MRAM、RRAM和PRAM中的至少一个。
逻辑区域1010和存储器区域1020可以分别包括图1A至图4C所示的集成电路器件100和200以及在本发明构思的范围内具有从以上集成电路器件100和200修改和变化的各种结构的其它集成电路器件中的至少一个。
图33是根据本发明构思实施方式的电子系统2000的方框图。
参照图33,电子系统2000可以包括通过总线2050彼此连接的控制器2010、输入/输出(I/O)装置2020、存储器2030和接口2040。
控制器2010可以包括微处理器、数字信号处理器和其它类似的处理器中的至少一个。I/O装置2020可以包括键区、键盘和显示器中的至少一个。存储器2030可以用于存储由控制器2010执行的指令。例如,存储器2030可以用于存储用户数据。
电子系统2000可以用于配置无线通讯装置或者能够在无线通讯环境下发送和/或接收信息的装置。接口2040可以包括无线接口以便通过电子系统2000中的无线通讯网络发送/接收数据。接口2040可以包括天线和/或无线收发器。在某些实施方式中,电子系统2000可以用于第三代通讯系统的通讯接口协议,例如码分多址(CDMA)、用于移动通讯的全球系统(GSM)、北美数字网络(NADC)、扩展型时分多址(E-TDMA)和/或宽带码分多址(WCDMA)。电子系统2000可以包括图1A至图4C所示的集成电路器件100和200以及在本发明构思的范围内具有从以上集成电路器件100和200修改和变化的各种结构的其它集成电路器件中的至少一个。
尽管已经参照本发明构思的实施方式具体示出和描述了本发明构思,但是将理解,可以在其中进行形式和细节上的各种变化,而没有脱离权利要求的精神和范围。
本申请要求于2015年10月15日在韩国知识产权局提交的韩国专利申请第10-2015-0144321号的权益,其公开内容通过引用全部结合于此。

Claims (22)

1.一种集成电路器件,包括:
鳍型有源区域,在竖直方向上从基板突出并具有在第一水平面处的上表面;
纳米片,平行于所述鳍型有源区域的所述上表面延伸并包括沟道区域,所述纳米片位于与所述鳍型有源区域的所述上表面间隔开的第二水平面处;
栅极,设置在所述鳍型有源区域上并围绕所述纳米片的至少一部分,所述栅极在交叉所述鳍型有源区域的方向上延伸;
栅极介电层,设置在所述纳米片和所述栅极之间;
源极和漏极区域,形成在所述鳍型有源区域上并连接到所述纳米片的一端;
第一绝缘间隔物,在所述纳米片上,所述第一绝缘间隔物覆盖所述栅极的侧壁;以及
第二绝缘间隔物,设置在所述栅极与所述源极和漏极区域之间且在所述鳍型有源区域的所述上表面和所述纳米片之间的空间中,所述第二绝缘间隔物具有至少三层结构并在所述竖直方向上不与所述源极和漏极区域重叠。
2.如权利要求1所述的集成电路器件,
其中所述栅极包括覆盖所述纳米片的上表面的主栅极部分和连接到所述主栅极部分且形成在所述鳍型有源区域和所述纳米片之间的空间中的子栅极部分,
其中所述第一绝缘间隔物覆盖所述主栅极部分的侧壁,并且
其中所述第二绝缘间隔物覆盖所述子栅极部分的侧壁。
3.如权利要求2所述的集成电路器件,其中所述纳米片形成在所述鳍型有源区域和所述栅极之间的空间中的由所述栅极覆盖的重叠区域中,并具有比所述重叠区域的平面面积大的平面面积。
4.如权利要求1所述的集成电路器件,其中所述第一绝缘间隔物和所述第二绝缘间隔物包括不同的材料。
5.如权利要求1所述的集成电路器件,其中所述三层结构包括空气间隔。
6.如权利要求1所述的集成电路器件,其中所述第二绝缘间隔物包括:
第一衬层,具有面对所述栅极和所述纳米片的表面,并包括不包含氧的第一绝缘材料;
第二衬层,与所述栅极和所述纳米片间隔开并包括与所述第一绝缘材料不同的第二绝缘材料,其中所述第一衬层在所述第二衬层和所述栅极之间以及在所述第二衬层和所述纳米片之间;以及
空气间隔,至少由所述第二衬层限定。
7.如权利要求6所述的集成电路器件,其中所述第二绝缘间隔物还包括与所述第二衬层一起限定所述空气间隔的部分埋入层。
8.如权利要求1所述的集成电路器件,其中所述第二绝缘间隔物包括:
第一衬层,具有面对所述栅极和所述纳米片的表面并包括不包含氧的第一绝缘材料;
第二衬层,与所述栅极和所述纳米片间隔开并包括与所述第一绝缘材料不同的第二绝缘材料,其中所述第一衬层在所述第二衬层和所述栅极之间以及在所述第二衬层和所述纳米片之间;以及
埋入层,填充由所述第二衬层限定的空间的至少一部分并包括与所述第二绝缘材料不同的第三绝缘材料。
9.如权利要求1所述的集成电路器件,其中所述第二绝缘间隔物包括:
第一衬层,包括SiN、SiCN和SiBN之一;以及
第二衬层,与所述栅极和所述纳米片间隔开并包括SiON、SiOCN和SiBCN之一,其中所述第一衬层在所述第二衬层和所述栅极之间以及在所述第二衬层和所述纳米片之间。
10.如权利要求1所述的集成电路器件,其中所述第二绝缘间隔物包括:
第一衬层,包括不包含氧的第一绝缘材料;和
第二衬层,具有与所述第一绝缘材料的成分不同的成分并具有在从0至50原子%的范围内的氧含量。
11.如权利要求1所述的集成电路器件,其中所述第二绝缘间隔物包括空气间隔、SiN、SiCN、SiBN、SiON、SiOCN、SiBCN、SiOC和SiO2中的至少一种。
12.如权利要求1所述的集成电路器件,
其中所述第一绝缘间隔物包括SiN,并且
其中所述第二绝缘间隔物包括接触所述源极和漏极区域并包括SiON的绝缘层。
13.一种集成电路器件,包括:
鳍型有源区域,在竖直方向上从基板突出并在第一方向上延伸;
至少一个纳米片堆叠结构,面对所述鳍型有源区域的上表面并与所述鳍型有源区域的上表面间隔开,所述至少一个纳米片堆叠结构包括多个纳米片,该多个纳米片的每个具有沟道区域;
至少一个栅极,设置在所述鳍型有源区域上并覆盖所述至少一个纳米片堆叠结构,所述至少一个栅极在交叉所述第一方向的方向上延伸,其中所述至少一个栅极包括在所述多个纳米片上的主栅极部分和填充所述多个纳米片中的相邻纳米片之间的空间的子栅极部分;
至少一个栅极介电层,设置在所述至少一个纳米片堆叠结构和所述至少一个栅极之间;
源极和漏极区域,连接到所述多个纳米片;以及
绝缘间隔物,每个具有至少三层结构,设置在所述多个纳米片之间的空间中且在所述源极和漏极区域与所述子栅极部分之间以接触所述源极和漏极区域,并且在所述竖直方向上不与所述源极和漏极区域重叠。
14.如权利要求13所述的集成电路器件,其中所述主栅极部分具有第一厚度并且所述子栅极部分具有小于所述第一厚度的第二厚度,并且
其中所述绝缘间隔物覆盖所述子栅极部分的侧壁。
15.如权利要求13所述的集成电路器件,其中所述绝缘间隔物包括空气间隔。
16.如权利要求13所述的集成电路器件,其中所述绝缘间隔物包括:
第一衬层,接触所述源极和漏极区域并包括不包含氧的第一绝缘材料;和
第二衬层,接触所述源极和漏极区域,所述第二衬层具有与所述第一绝缘材料的成分不同的成分并具有在从0至50原子%的范围内的氧含量。
17.如权利要求13所述的集成电路器件,其中所述多个纳米片在所述鳍型有源区域和所述至少一个栅极之间的空间当中由所述至少一个栅极覆盖的至少一个重叠区域中,并具有比所述至少一个重叠区域的平面面积大的平面面积。
18.如权利要求13所述的集成电路器件,其中所述至少一个纳米片堆叠结构包括多个纳米片堆叠结构,并且所述至少一个栅极包括多个栅极,其中所述多个纳米片堆叠结构在所述鳍型有源区域上布置在沿着所述第一方向的线上并且每个包括多个纳米片;并且
其中所述多个栅极彼此平行地在所述鳍型有源区域上延伸,所述多个纳米片堆叠结构布置在所述鳍型有源区域和所述多个栅极之间。
19.一种集成电路器件,包括:
基板;
鳍型有源区域,在竖直方向上从所述基板突出;
多个源极和漏极区域,在所述鳍型有源区域上;
多个纳米片,相邻于所述多个源极和漏极区域,所述多个源极和漏极区域分别连接到所述多个纳米片的相反两端;
栅极,其包括主栅极部分和多个子栅极部分,其中所述主栅极部分在所述多个纳米片上,并且所述多个子栅极部分在所述鳍型有源区域和所述多个纳米片中的最下面的一个纳米片之间以及在所述多个纳米片中的相邻纳米片之间;以及
多个绝缘间隔物,在所述多个纳米片中的相邻纳米片之间且在所述子栅极部分与所述源极和漏极区域之间,所述多个绝缘间隔物中的每个绝缘间隔物具有至少三层结构并在所述竖直方向上不与所述多个源极和漏极区域重叠。
20.如权利要求19所述的集成电路器件,其中所述至少三层结构包括空气间隔、SiN、SiCN、SiBN、SiON、SiOCN、SiBCN、SiOC和SiO2中的至少一个。
21.如权利要求19所述的集成电路器件,其中所述多个绝缘间隔物是多个纳米片绝缘间隔物,所述集成电路器件还包括:
绝缘衬层,在所述主栅极部分的侧壁上;
栅极绝缘间隔物,在所述绝缘衬层的侧壁上;以及
保护层,在所述栅极绝缘间隔物的侧壁上;
其中所述栅极绝缘间隔物和所述纳米片绝缘间隔物包括不同的材料。
22.如权利要求19所述的集成电路器件,其中所述三层结构包括:
第一衬层、第二衬层和空气间隔;
其中所述第一衬层在所述多个子栅极部分中的相应的一个和所述第二衬层之间,并且所述空气间隔由所述第二衬层至少部分地限定;并且
其中所述第一衬层和所述第二衬层包括不同的材料。
CN201610884035.5A 2015-10-15 2016-10-10 集成电路器件 Active CN106847812B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2015-0144321 2015-10-15
KR1020150144321A KR102315275B1 (ko) 2015-10-15 2015-10-15 집적회로 소자 및 그 제조 방법

Publications (2)

Publication Number Publication Date
CN106847812A CN106847812A (zh) 2017-06-13
CN106847812B true CN106847812B (zh) 2021-11-02

Family

ID=58524315

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610884035.5A Active CN106847812B (zh) 2015-10-15 2016-10-10 集成电路器件

Country Status (3)

Country Link
US (1) US10096688B2 (zh)
KR (1) KR102315275B1 (zh)
CN (1) CN106847812B (zh)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9461114B2 (en) * 2014-12-05 2016-10-04 Samsung Electronics Co., Ltd. Semiconductor devices with structures for suppression of parasitic bipolar effect in stacked nanosheet FETs and methods of fabricating the same
US9362355B1 (en) * 2015-11-13 2016-06-07 International Business Machines Corporation Nanosheet MOSFET with full-height air-gap spacer
US9929266B2 (en) * 2016-01-25 2018-03-27 International Business Machines Corporation Method and structure for incorporating strain in nanosheet devices
US9773912B2 (en) * 2016-01-28 2017-09-26 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and manufacturing method thereof
KR102435521B1 (ko) * 2016-02-29 2022-08-23 삼성전자주식회사 반도체 소자
KR102527382B1 (ko) * 2016-06-21 2023-04-28 삼성전자주식회사 반도체 소자
US10510850B2 (en) 2016-08-03 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR102460862B1 (ko) * 2016-08-04 2022-10-28 삼성전자주식회사 반도체 장치
US9831324B1 (en) * 2016-08-12 2017-11-28 International Business Machines Corporation Self-aligned inner-spacer replacement process using implantation
US9905643B1 (en) * 2016-08-26 2018-02-27 International Business Machines Corporation Vertically aligned nanowire channels with source/drain interconnects for nanosheet transistors
US9685535B1 (en) 2016-09-09 2017-06-20 International Business Machines Corporation Conductive contacts in semiconductor on insulator substrate
US9653537B1 (en) * 2016-09-26 2017-05-16 International Business Machines Corporation Controlling threshold voltage in nanosheet transistors
US10340340B2 (en) * 2016-10-20 2019-07-02 International Business Machines Corporation Multiple-threshold nanosheet transistors
US10600638B2 (en) * 2016-10-24 2020-03-24 International Business Machines Corporation Nanosheet transistors with sharp junctions
US10170584B2 (en) * 2017-01-27 2019-01-01 International Business Machines Corporation Nanosheet field effect transistors with partial inside spacers
US9978678B1 (en) * 2017-02-02 2018-05-22 International Business Machines Corporation Vertically integrated nanosheet fuse
EP3369702A1 (en) 2017-03-03 2018-09-05 IMEC vzw Internal spacers for nanowire semiconductor devices
KR102400558B1 (ko) 2017-04-05 2022-05-20 삼성전자주식회사 반도체 소자
CN108735804B (zh) * 2017-04-25 2021-05-14 中芯国际集成电路制造(上海)有限公司 晶体管及其制作方法
US10756174B2 (en) * 2017-04-26 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-stacked semiconductor nanowires and source/drain spacers
US10269983B2 (en) * 2017-05-09 2019-04-23 Globalfoundries Inc. Stacked nanosheet field-effect transistor with air gap spacers
US9991352B1 (en) * 2017-07-17 2018-06-05 Globalfoundries Inc. Methods of forming a nano-sheet transistor device with a thicker gate stack and the resulting device
CN109427779B (zh) * 2017-08-22 2021-07-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10361278B2 (en) 2017-08-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
DE102017124637A1 (de) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Herstellungsverfahren für ein Halbleiter-Bauelement und ein Halbleiter-Bauelement
US10374059B2 (en) * 2017-08-31 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowires
KR102341721B1 (ko) 2017-09-08 2021-12-23 삼성전자주식회사 반도체 소자
KR102379707B1 (ko) 2017-09-13 2022-03-28 삼성전자주식회사 반도체 소자
US10679988B2 (en) * 2017-09-18 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including FinFETS having different channel heights and manufacturing method thereof
KR102353251B1 (ko) 2017-09-28 2022-01-19 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102487548B1 (ko) * 2017-09-28 2023-01-11 삼성전자주식회사 집적회로 소자
KR102403031B1 (ko) * 2017-10-19 2022-05-27 삼성전자주식회사 반도체 장치
US10818777B2 (en) * 2017-10-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10553696B2 (en) * 2017-11-21 2020-02-04 International Business Machines Corporation Full air-gap spacers for gate-all-around nanosheet field effect transistors
US10727320B2 (en) * 2017-12-29 2020-07-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of manufacturing at least one field effect transistor having epitaxially grown electrodes
US10325820B1 (en) * 2018-01-10 2019-06-18 International Business Machines Corporation Source and drain isolation for CMOS nanosheet with one block mask
US10424651B2 (en) * 2018-01-26 2019-09-24 International Business Machines Corporation Forming nanosheet transistor using sacrificial spacer and inner spacers
US10573521B2 (en) * 2018-01-30 2020-02-25 International Business Machines Corporation Gate metal patterning to avoid gate stack attack due to excessive wet etching
CN110265301B (zh) * 2018-03-12 2022-07-26 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10566438B2 (en) * 2018-04-02 2020-02-18 International Business Machines Corporation Nanosheet transistor with dual inner airgap spacers
KR102458311B1 (ko) * 2018-04-11 2022-10-24 삼성전자주식회사 집적회로 소자
CN110581172B (zh) * 2018-06-07 2023-04-25 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10461154B1 (en) * 2018-06-21 2019-10-29 International Business Machines Corporation Bottom isolation for nanosheet transistors on bulk substrate
US10879392B2 (en) * 2018-07-05 2020-12-29 Samsung Electronics Co., Ltd. Semiconductor device
US10679906B2 (en) 2018-07-17 2020-06-09 International Business Machines Corporation Method of forming nanosheet transistor structures with reduced parasitic capacitance and improved junction sharpness
KR102516878B1 (ko) 2018-07-26 2023-03-31 삼성전자주식회사 집적회로 소자
CN110767549B (zh) * 2018-07-26 2023-05-16 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
US10756216B2 (en) 2018-08-09 2020-08-25 International Business Machines Corporation Nanosheet mosfet with isolated source/drain epitaxy and close junction proximity
KR102534246B1 (ko) * 2018-08-30 2023-05-18 삼성전자주식회사 반도체 장치
KR102560695B1 (ko) * 2018-09-05 2023-07-27 삼성전자주식회사 집적회로 장치
US10573755B1 (en) * 2018-09-12 2020-02-25 International Business Machines Corporation Nanosheet FET with box isolation on substrate
US11411090B2 (en) * 2018-09-27 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures for gate-all-around devices and methods of forming the same
CN111081546B (zh) * 2018-10-19 2024-01-26 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10734447B2 (en) * 2018-10-22 2020-08-04 International Business Machines Corporation Field-effect transistor unit cells for neural networks with differential weights
CN111341661B (zh) * 2018-12-19 2023-10-17 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US11239363B2 (en) 2019-01-08 2022-02-01 Samsung Electronics Co., Ltd. Semiconductor devices
US11031502B2 (en) * 2019-01-08 2021-06-08 Samsung Electronics Co., Ltd. Semiconductor devices
CN111477548B (zh) * 2019-01-23 2023-09-22 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US10957798B2 (en) * 2019-02-06 2021-03-23 International Business Machines Corporation Nanosheet transistors with transverse strained channel regions
US10833168B2 (en) * 2019-03-08 2020-11-10 International Business Machines Corporation Complementary metal-oxide-semiconductor (CMOS) nanosheet devices with epitaxial source/drains and replacement metal gate structures
CN111755333B (zh) * 2019-03-27 2024-02-27 芯恩(青岛)集成电路有限公司 一种纳米片场效应晶体管及其制备方法
US10998234B2 (en) * 2019-05-14 2021-05-04 International Business Machines Corporation Nanosheet bottom isolation and source or drain epitaxial growth
KR102712328B1 (ko) * 2019-05-22 2024-09-30 삼성전자주식회사 반도체 장치
US11195917B2 (en) 2019-05-24 2021-12-07 Samsung Electronics Co., Ltd. Semiconductor device
KR20200136230A (ko) * 2019-05-27 2020-12-07 삼성전자주식회사 집적회로 장치 및 그 제조 방법
KR20200143988A (ko) * 2019-06-17 2020-12-28 삼성전자주식회사 집적회로 장치 및 그 제조 방법
US11626505B2 (en) * 2019-06-27 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric inner spacers in multi-gate field-effect transistors
US11049933B2 (en) 2019-07-18 2021-06-29 International Business Machines Corporation Creation of stress in the channel of a nanosheet transistor
US11476166B2 (en) * 2019-07-30 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers
US11114529B2 (en) * 2019-08-23 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-all-around field-effect transistor device
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
KR20210038762A (ko) * 2019-09-30 2021-04-08 삼성전자주식회사 반도체 장치
US11264485B2 (en) * 2019-10-24 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure for semiconductor device
US11322493B2 (en) * 2019-10-30 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method (and related apparatus) for forming a semiconductor device with reduced spacing between nanostructure field-effect transistors
US11756997B2 (en) * 2019-10-31 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
US11810920B2 (en) * 2019-11-29 2023-11-07 Samsung Electronics Co., Ltd. Integrated circuits including integrated standard cell structure
CN113130653A (zh) * 2019-12-30 2021-07-16 台湾积体电路制造股份有限公司 纳米片场效应晶体管器件及其形成方法
DE102020134536A1 (de) * 2020-01-29 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Luftspalt in inneren abstandshaltern und verfahren zum fertigen desselben in feldeffekttransistoren
US11502183B2 (en) * 2020-01-29 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap in inner spacers and methods of fabricating the same in field-effect transistors
US11164952B2 (en) * 2020-03-07 2021-11-02 Qualcomm Incorporated Transistor with insulator
KR20210124731A (ko) * 2020-04-07 2021-10-15 삼성전자주식회사 게이트 스페이서를 갖는 반도체 소자들
US11411092B2 (en) 2020-05-06 2022-08-09 Qualcomm Incorporated Field effect transistor (FET) comprising inner spacers and voids between channels
CN113675089B (zh) * 2020-05-15 2024-09-17 中芯国际集成电路制造(上海)有限公司 半导体结构及半导体结构的形成方法
CN113675141B (zh) * 2020-05-15 2024-01-26 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
DE102021108179A1 (de) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Multigate-bauelemente mit mehrschichtigen inneren abstandshaltern und verfahren zu deren fertigung
US11908919B2 (en) 2020-05-28 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd Multi-gate devices with multi-layer inner spacers and fabrication methods thereof
US11532626B2 (en) * 2020-05-29 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reduction of gate-drain capacitance
US20210408283A1 (en) * 2020-06-25 2021-12-30 Intel Corporation Gate-all-around integrated circuit structures having strained source or drain structures on insulator
US20210408285A1 (en) * 2020-06-26 2021-12-30 Intel Corporation Gate-all-around integrated circuit structures having germanium-doped nanoribbon channel structures
US11848238B2 (en) * 2020-06-30 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for manufacturing semiconductor devices with tunable low-k inner air spacers
US11984488B2 (en) 2020-07-31 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multigate device with air gap spacer and backside rail contact and method of fabricating thereof
CN115702487A (zh) * 2020-08-11 2023-02-14 华为技术有限公司 场效应晶体管及其制造方法
KR20220031799A (ko) 2020-09-03 2022-03-14 삼성전자주식회사 반도체 소자
US11362217B1 (en) * 2020-11-23 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming transistors of different configurations
KR20220076992A (ko) * 2020-12-01 2022-06-08 삼성전자주식회사 반도체 소자
KR20220099143A (ko) * 2021-01-04 2022-07-13 삼성전자주식회사 반도체 장치
US20220246479A1 (en) * 2021-02-04 2022-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain regions and methods of forming same
CN112885840B (zh) * 2021-03-18 2022-04-01 长江存储科技有限责任公司 三维存储器及其制作方法
US20220328647A1 (en) * 2021-04-08 2022-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices with Air Gaps and the Method Thereof
US11942478B2 (en) * 2021-05-06 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11764277B2 (en) * 2021-06-04 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US20230029651A1 (en) * 2021-07-30 2023-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structures for semiconductor devices
US12051736B2 (en) * 2021-08-31 2024-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor with inner spacer liner layer and method
US20230113269A1 (en) * 2021-10-13 2023-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
KR102501386B1 (ko) * 2021-10-21 2023-02-17 충북대학교 산학협력단 소비전력 감소를 위한 나노시트 fet 소자 및 그 제조 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002203900A (ja) * 2000-12-11 2002-07-19 Hynix Semiconductor Inc 半導体装置のコンタクト孔及びスペーサ形成方法
US7955932B2 (en) * 2006-10-04 2011-06-07 Samsung Electronics Co., Ltd. Single electron transistor and method of manufacturing the same
CN102214595A (zh) * 2011-05-26 2011-10-12 北京大学 一种空气为侧墙的围栅硅纳米线晶体管的制备方法
US8633537B2 (en) * 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
CN104282694A (zh) * 2013-07-03 2015-01-14 株式会社东芝 非易失性半导体存储器件及其制造方法
CN104681615A (zh) * 2013-11-26 2015-06-03 台湾积体电路制造股份有限公司 用于具有掩埋SiGe氧化物的FinFET器件的结构和方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6060723A (en) * 1997-07-18 2000-05-09 Hitachi, Ltd. Controllable conduction device
KR100553683B1 (ko) * 2003-05-02 2006-02-24 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR20060046879A (ko) 2004-11-12 2006-05-18 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
KR101575667B1 (ko) * 2008-05-23 2015-12-08 코쿠리츠켄큐카이하츠호징 붓시쯔 자이료 켄큐키코 유전체 막과 유전체 소자 및 그 제조 방법
FR2945891B1 (fr) 2009-05-19 2011-07-15 Commissariat Energie Atomique Structure semiconductrice et procede de realisation d'une structure semiconductrice.
US8313990B2 (en) 2009-12-04 2012-11-20 International Business Machines Corporation Nanowire FET having induced radial strain
US8722492B2 (en) 2010-01-08 2014-05-13 International Business Machines Corporation Nanowire pin tunnel field effect devices
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US9087863B2 (en) 2011-12-23 2015-07-21 Intel Corporation Nanowire structures having non-discrete source and drain regions
US9484447B2 (en) * 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US20140151639A1 (en) 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
KR20140083744A (ko) 2012-12-26 2014-07-04 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
CN103915483B (zh) 2012-12-28 2019-06-14 瑞萨电子株式会社 具有被改造以减少漏电流的沟道芯部的场效应晶体管及制作方法
US8900959B2 (en) * 2013-03-12 2014-12-02 International Business Machines Corporation Non-replacement gate nanomesh field effect transistor with pad regions
US8969149B2 (en) 2013-05-14 2015-03-03 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
KR20150000546A (ko) * 2013-06-24 2015-01-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102083494B1 (ko) 2013-10-02 2020-03-02 삼성전자 주식회사 나노와이어 트랜지스터를 포함하는 반도체 소자
US9484423B2 (en) 2013-11-01 2016-11-01 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet III-V channel FETs
US9312259B2 (en) * 2013-11-06 2016-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with thinned contact

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002203900A (ja) * 2000-12-11 2002-07-19 Hynix Semiconductor Inc 半導体装置のコンタクト孔及びスペーサ形成方法
US7955932B2 (en) * 2006-10-04 2011-06-07 Samsung Electronics Co., Ltd. Single electron transistor and method of manufacturing the same
US8633537B2 (en) * 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
CN102214595A (zh) * 2011-05-26 2011-10-12 北京大学 一种空气为侧墙的围栅硅纳米线晶体管的制备方法
CN104282694A (zh) * 2013-07-03 2015-01-14 株式会社东芝 非易失性半导体存储器件及其制造方法
CN104681615A (zh) * 2013-11-26 2015-06-03 台湾积体电路制造股份有限公司 用于具有掩埋SiGe氧化物的FinFET器件的结构和方法

Also Published As

Publication number Publication date
US10096688B2 (en) 2018-10-09
CN106847812A (zh) 2017-06-13
US20170110554A1 (en) 2017-04-20
KR20170044525A (ko) 2017-04-25
KR102315275B1 (ko) 2021-10-20

Similar Documents

Publication Publication Date Title
CN106847812B (zh) 集成电路器件
CN108511525B (zh) 半导体器件
US11037926B2 (en) Semiconductor device
KR102400558B1 (ko) 반도체 소자
US9991264B1 (en) Integrated circuit device and method of manufacturing the same
CN106486483B (zh) 具有衬层的鳍型场效应晶体管
KR102426663B1 (ko) 반도체 소자 및 그 제조방법
CN104752508B (zh) 包括具有多倾角的沟槽壁的半导体器件
KR101795870B1 (ko) Fet 및 fet를 형성하는 방법
US10128241B2 (en) Integrated circuit devices
US20200135879A1 (en) Gate Structure and Patterning Method for Multiple Threshold Voltages
KR20170124016A (ko) 집적회로 소자 및 그 제조 방법
TWI780649B (zh) 半導體裝置及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant