CN106409830A - 具有金属栅极的半导体元件及其制作方法 - Google Patents
具有金属栅极的半导体元件及其制作方法 Download PDFInfo
- Publication number
- CN106409830A CN106409830A CN201510445767.XA CN201510445767A CN106409830A CN 106409830 A CN106409830 A CN 106409830A CN 201510445767 A CN201510445767 A CN 201510445767A CN 106409830 A CN106409830 A CN 106409830A
- Authority
- CN
- China
- Prior art keywords
- type
- barrier layer
- workfunction layers
- shaped
- bottom barrier
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 137
- 239000002184 metal Substances 0.000 title claims abstract description 137
- 239000004065 semiconductor Substances 0.000 title claims abstract description 30
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 18
- 230000004888 barrier function Effects 0.000 claims abstract description 126
- 239000000758 substrate Substances 0.000 claims abstract description 39
- 239000000463 material Substances 0.000 claims abstract description 23
- 238000000034 method Methods 0.000 claims description 23
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 18
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 15
- 238000002955 isolation Methods 0.000 claims description 13
- 239000000945 filler Substances 0.000 claims description 10
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 claims description 8
- 239000010931 gold Substances 0.000 claims description 8
- 229910052737 gold Inorganic materials 0.000 claims description 8
- 239000010936 titanium Substances 0.000 claims description 6
- 230000005669 field effect Effects 0.000 claims description 5
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 3
- 230000015572 biosynthetic process Effects 0.000 claims description 3
- 229910052719 titanium Inorganic materials 0.000 claims description 3
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims 1
- 239000010410 layer Substances 0.000 description 259
- 229910052710 silicon Inorganic materials 0.000 description 15
- 239000010703 silicon Substances 0.000 description 15
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 14
- 238000005530 etching Methods 0.000 description 13
- 238000002360 preparation method Methods 0.000 description 8
- 238000010586 diagram Methods 0.000 description 5
- 239000010408 film Substances 0.000 description 5
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 230000015556 catabolic process Effects 0.000 description 4
- 229910052735 hafnium Inorganic materials 0.000 description 4
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 4
- 239000007769 metal material Substances 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 229920005591 polysilicon Polymers 0.000 description 4
- 229910052715 tantalum Inorganic materials 0.000 description 4
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 4
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical compound [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 3
- 230000005611 electricity Effects 0.000 description 3
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 3
- -1 hafnium silicate oxygen compound Chemical class 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- 229910010037 TiAlN Inorganic materials 0.000 description 2
- OQPDWFJSZHWILH-UHFFFAOYSA-N [Al].[Al].[Al].[Ti] Chemical compound [Al].[Al].[Al].[Ti] OQPDWFJSZHWILH-UHFFFAOYSA-N 0.000 description 2
- 239000002131 composite material Substances 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 229910000449 hafnium oxide Inorganic materials 0.000 description 2
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 239000010955 niobium Substances 0.000 description 2
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 2
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 229910003468 tantalcarbide Inorganic materials 0.000 description 2
- 229910001936 tantalum oxide Inorganic materials 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 229910021324 titanium aluminide Inorganic materials 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 2
- 229910001928 zirconium oxide Inorganic materials 0.000 description 2
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 229910007880 ZrAl Inorganic materials 0.000 description 1
- 229910006501 ZrSiO Inorganic materials 0.000 description 1
- CEPICIBPGDWCRU-UHFFFAOYSA-N [Si].[Hf] Chemical compound [Si].[Hf] CEPICIBPGDWCRU-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- 230000002745 absorbent Effects 0.000 description 1
- 239000002250 absorbent Substances 0.000 description 1
- 239000011358 absorbing material Substances 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 238000003763 carbonization Methods 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- KQHQLIAOAVMAOW-UHFFFAOYSA-N hafnium(4+) oxygen(2-) zirconium(4+) Chemical compound [O--].[O--].[O--].[O--].[Zr+4].[Hf+4] KQHQLIAOAVMAOW-UHFFFAOYSA-N 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000003475 lamination Methods 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- DOTMOQHOJINYBL-UHFFFAOYSA-N molecular nitrogen;molecular oxygen Chemical compound N#N.O=O DOTMOQHOJINYBL-UHFFFAOYSA-N 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- KJXBRHIPHIVJCS-UHFFFAOYSA-N oxo(oxoalumanyloxy)lanthanum Chemical compound O=[Al]O[La]=O KJXBRHIPHIVJCS-UHFFFAOYSA-N 0.000 description 1
- 238000001259 photo etching Methods 0.000 description 1
- 239000011513 prestressed concrete Substances 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 150000003376 silicon Chemical class 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- MAKDTFFYCIMFQP-UHFFFAOYSA-N titanium tungsten Chemical compound [Ti].[W] MAKDTFFYCIMFQP-UHFFFAOYSA-N 0.000 description 1
- 238000000101 transmission high energy electron diffraction Methods 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/823842—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28088—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0922—Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/511—Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/517—Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Composite Materials (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
本发明公开一种具有金属栅极的半导体元件及其制作方法,该具有金属栅极的半导体元件包含有一基底、一第一n型FET元件、以及一第二n型FET元件。该第一n型FET元件包含有一第一n型金属栅极,该第一n型金属栅极包含有一第三底部阻障层以及一n型功函数金属层,且该n型功函数金属层直接接触该第三底部阻障层。该第二n型FET元件包含有一第二n型金属栅极,该第二n型金属栅极包含有一第二底部阻障层、该n型功函数金属层、以及一第三p型功函数金属层,且该第三p型功函数金属层夹设于该第二底部阻障层与该n型功函数金属层之间。该第三p型功函数金属层与该第三底部阻障层包含相同材料。
Description
技术领域
本发明涉及一种具有金属栅极(metal gate)的半导体元件及其制作方法,尤其是涉及一种具有金属栅极的半导体元件组合及其制作方法。
背景技术
随着电子装置尺寸的持续缩小,电子装置上的集成电路以及用以构成集成电路的特征图案尺寸及其间的细微间距也随之缩小,且集成电路本身益加的复杂化。
场效晶体管(field effect transistor,以下简称为FET)元件常作为集成电路的基本组成元件之一,而FET元件本身的操作参数,例如漏极与源极之间的击穿电压(drain-source breakdown voltage,BVds)、漏极与源极间的导通电阻(drain-source on resistance,RDson)、与栅极的临界电压(threshold voltage,Vt)等,影响着FET元件本身的运作与表现。另外,如前所述,随着集成电路复杂度的增加,一集成电路内,可能包含有多种具有不同临界电压或不同击穿电压的FET元件,使单一集成电路达到多功能的目的。
更重要的是,除了复杂度的提升之外,集成电路更面临高性能等要求。因此,如何制作符合上述要求的复杂集成电路,一直是业界努力的范畴。
发明内容
本发明的一目的在于,提供一种符合多种性能要求的半导体元件及其制作方法,且为一种具有金属栅极的半导体元件组合及其制作方法。
为达上述目的,本发明提供一种具有金属栅极的半导体元件,包含有一基底、一设置于该基底上的第一n型FET元件、以及一设置于该基底上的第二n型FET元件,该基底内还设置有多个隔离结构。该第一n型FET元件包含有一第一n型金属栅极,该第一n型金属栅极包含有一第三底部阻障层以及一n型功函数金属层,且该n型功函数金属层直接接触该第三底部阻障层。该第二n型FET元件包含有一第二n型金属栅极,该第二n型金属栅极包含有一第二底部阻障层、该n型功函数金属层、以及一第三p型功函数金属层,且该第三p型功函数金属层夹设于该第二底部阻障层与该n型功函数金属层之间。该第二n型FET元件的该第三p型功函数金属层与该第一n型FET元件的该第三底部阻障层包含相同材料。
本发明另提供一种具有金属栅极的半导体元件的制作方法,该制作方法首先提供一基底,该基底内设置由多个隔离结构,且该基底上设置有一第一n型FET元件与一第二n型FET元件。该第一n型FET元件包含有一第一栅极沟槽,而该第二n型FET元件包含有一第二栅极沟槽。接下来,同时在该第一栅极沟槽内形成一第三底部阻障层与在该第二栅极沟槽内形成一第三p型功函数金属层,且该第三底部阻障层与该第三p型功函数金属层包含一相同材料。之后,在该第一栅极沟槽与该第二栅极沟槽内形成一n型功函数金属层,该第一栅极沟槽内的该n型功函数金属层直接接触该第三底部阻障层,该第二栅极沟槽内的该n型功函数金属层直接接触该第三p型功函数金属层。
根据本发明所提供的具有金属栅极的半导体元件及其制作方法,利用不同的金属层组合,使得具有相同导电类型的FET元件获得不同的临界电压,以符合不同的功能要求。也就是说,本发明所提供的具有金属栅极的半导体元件及其制作方法可在不增加制作工艺复杂度的前提下,有效提升集成电路的复杂度与性能。
附图说明
图1至图12为本发明所提供的具有金属栅极的半导体元件的制作方法的一优选实施例的示意图;
其中,图8与图12为本优选实施例的一示意图。
主要元件符号说明
100 基底
100IL 介电层/界面层
100S 牺牲掩模层
102 隔离结构
104 间隙壁 106 接触洞蚀刻停止层
108 内层介电层
110 第一n型FET元件
110t 第一栅极沟槽
110M 第一n型金属栅极
120 第二n型FET元件
120t 第二栅极沟槽
120M 第二n型金属栅极
130 第三n型FET元件
130t 第三栅极沟槽
130M 第三n型金属栅极
140 第一p型FET元件
140t 第四栅极沟槽
140M 第一p型金属栅极
150 第二p型FET元件
150M 第二p型金属栅极
150t 第五栅极沟槽
160 high-k栅极介电层
170 第一底部阻障层
172 第二底部阻障层
180 第一p型功函数金属层
182 第二p型功函数金属层
184 第三p型功函数金属层、第三底部阻障层
186 n型功函数金属层
190 顶部阻障层
192 填充金属层
具体实施方式
请参阅图1至图12,图1至图12为本发明所提供的具有金属栅极的半导体元件的制作方法的一第一优选实施例的示意图。如图1所示,首先提供一基底100,如一硅基底、含硅基底、或硅覆绝缘(silicon-on-insulator,以下简称为SOI)基底等,且基底100内形成有多个隔离结构102,隔离结构102可以是浅沟绝缘(shallow trench isolation,以下简称为STI),用以于基底100内定义出用以容置p型FET元件与n型FET元件的主动区域,并提供电性隔离。另外,本优选实施例也可提供一半导体层,且半导体层可为一鳍式场效晶体管(fin field effect transistor,FinFET)的鳍片结构。鳍片结构的形成可利用蚀刻光刻暨蚀刻(photolithographic etching pattern,PEP)、多重曝光(multipatterning)等制作工艺,优选可利用间隙壁自对准双图案法(spacer self-aligneddouble-patterning,SADP),也就是侧壁影像转换(sidewall image transfer,SIT)等方式图案化一块硅(bulk silicon)基底或SOI基底表面的单晶硅层,而于块硅基底或SOI基底中形成一鱼鳍状的硅薄膜,此一硅薄膜即为本优选实施例中的基底100。基底100上形成有至少一第一n型FET元件110、一第二n型FET元件120、一第三n型FET元件130、一第一p型FET元件140、以及一第二p型FET元件150。在本优选实施例中,第三n型FET元件130的部分栅极结构可跨设于隔离结构102上,但不限于此。另外,在本优选实施例中,第二p型FET元件150的部分栅极结构可跨设于隔离结构102上,但也不限于此。需注意的是,在本优选实施例中,上述n型与p型FET元件可以是设置在相同区域但因性能要求不同(高性能与低性能)因而对临界电压或饱和电流要求不同的元件,甚至也可以是设置于不同区域而具有不同击穿电压的元件。简单地说,第一n型FET元件110、第二n型FET元件120、第三n型FET元件130、第一p型FET元件140、以及第二p型FET元件150的组合,可根据产品要求而为不同的FET元件组合。举例来说,在本优选实施例中,第一n型FET元件110为临界电压要求较低的FET元件,而第二n型FET元件120与第一p型FET元件140则为临界电压要求较高的FET元件,但不限于此。
熟悉该项技术的人士应知,上述FET元件可包含一虚置栅极或取代栅极如一多晶硅(polysilicon)层或一非晶硅(amorphous silicon)层(图未示)、与一图案化硬掩模(图未示)。另外,设置于基底100的主动区域内的第一n型FET元件110、第二n型FET元件120以及第一p型FET元件140的虚置栅极与基底100之间还夹设有一介电层100IL。在本优选实施例中,介电层100IL可为一传统的二氧化硅层,但不限于此。介电层100IL的厚度可为7埃至但也不限于此。各FET元件可包含轻掺杂漏极(lightdoped drain,LDD)(图未示)、一形成在虚置栅极的侧壁上的间隙壁104、与一源极/漏极(图未示)。间隙壁104可为一复合膜层的结构。另外,在本优选实施例中,也可利用选择性外延成长(selective epitaxial growth,SEG)方法来制作源极/漏极,以利用外延层与栅极通道硅之间的应力作用更改善电性表现。例如,n型FET元件110、120可利用包含有碳化硅(SiC)或磷化硅(SiP)的外延层形成源极/漏极;p型FET元件140则可利用包含有锗化硅(SiGe)的外延层形成源极/漏极。此外,源极/漏极表面可分别包含有一金属硅化物(图未示),以改善源极/漏极与后续形成的接触插塞之间的接触电阻。在完成上述FET元件的制作后,可于基底100上选择性地形成一蚀刻衬垫层如接触洞蚀刻停止层(contact etch stop layer,以下简称为CESL)106,随后形成一内层介电(inter-layer dielectric,以下简称为ILD)层108。
请继续参阅图1。接下来,利用一平坦化制作工艺,如一CMP制作工艺,用以平坦化ILD层108与CESL 106,并移除图案化硬掩模,直至暴露出虚置栅极。随后移除各FET元件的虚置栅极,而同时于第一n型FET元件110内形成一第一栅极沟槽110t、在第二n型FET元件120内形成一第二栅极沟槽120t、在第三n型FET元件130内形成一第三栅极沟槽130t、在第一p型FET元件140内形成一第四栅极沟槽140t、以及于第二p型FET元件150内形成一第五栅极沟槽150t。随后,在第一栅极沟槽110t、第二栅极沟槽120t、第三栅极沟槽130t、第四栅极沟槽140t以及第五栅极沟槽150t内依序形成一高介电常数(high-k)栅极介电层160、一第一底部阻障层170以及一第二底部阻障层172。
在本优选实施例中,high-k栅极介电层160用以取代传统的二氧化硅层或氮氧化硅层,其能有效降地低物理极限厚度,且在相同的等效栅极氧化层厚度(Equivalent Oxide Thickness,EOT)下,有效降低漏电流并达成等效电容以控制通道开关。High-k栅极介电层160可选自氮化硅(SiN)、氮氧化硅(SiON)以及金属氧化物所组成的一群组,其中金属氧化物则包含氧化铪(hafniumoxide,HfO)、硅酸铪氧化合物(hafnium silicon oxide,HfSiO)、硅酸铪氮氧化合物(hafnium silicon oxynitride,HfSiON)、氧化铝(aluminum oxide,AlO)、氧化镧(lanthanum oxide,LaO)、铝酸镧(lanthanum aluminum oxide,LaAlO)、氧化钽(tantalum oxide,TaO)、氧化锆(zirconium oxide,ZrO)、硅酸锆氧化合物(zirconium silicon oxide,ZrSiO)、或锆酸铪(hafnium zirconium oxide,HfZrO)等,但不限于此。此外,high-k栅极介电层160的厚度可介于但不限于此。由此可知,本优选实施例是整合后栅极介电层(high-k last)制作工艺,此时栅极沟槽110t~150t中原本的介电层100IL作为一界面层(interfacial layer,IL)100IL,而此界面层100IL可在基底100与high-k栅极介电层160之间提供一良好的界面。当然,栅极沟槽110t~150t内的介电层可移除,并重新形成一界面层100IL。另外本优选实施例的一变化型也可与先栅极介电层(high-k first)制作工艺整合,在该变化型中,介电层可包含上述high-k材料,但不限于此。
在本优选实施例中,第一底部阻障层170可包含一氮化钛(titaniumnitride,以下简称为TiN)层,而第二底部阻障层172则可包含一氮化钽(tantalum nitride,以下简称为TaN)层。第一底部阻障层170与第二底部阻障层172的厚度分别可以是但皆不限于此。
请参阅图2。接下来,在第一栅极沟槽110t、第二栅极沟槽120t、第三栅极沟槽130t、第四栅极沟槽140t以及第五栅极沟槽150t内形成一第一p型功函数金属层180。第一p型功函数金属层180可包含满足p型晶体管所需功函数要求的金属,例如TiN、TaN、碳化钛(titanium carbide,TiC)、碳化钽(tantalum carbide,TaC)、碳化钨(tungsten carbide,WC)、或氮化铝钛(aluminum titanium nitride,TiAlN),且优选为TiN。但熟悉该项技术的人士应知,第一p型功函数金属层180可包含任何满足p型金属栅极的功函数需求(功函数介于4.8eV与5.2eV之间)的金属材料,故不限于此。此外,第一p型功函数金属层180的厚度可以是但不限于此。
请参阅图3。在完成第一p型功函数金属层180的制作后,在基底100上形成一图案化掩模层(图未示),用以覆盖及保护第一n型FET元件110、第三n型FET元件130以及第二p型FET元件150,并暴露出第二n型FET元件120与第一p型FET元件140。随后,通过图案化掩模层进行一蚀刻制作工艺,用以移除第二栅极沟槽120t与第四栅极沟槽140t内的第一p型功函数金属层180。值得注意的是,虽然第一p型FET元件140的导电类型与第二p型FET元件150的导电类型相同,但由于这两个FET元件的临界电压要求不同,而目前已知可通过不同功函数金属层的厚度设置调整栅极的临界电压,故在本优选实施例中,更特别将第一p型FET元件140内,即第四栅极沟槽140t内的第一p型功函数金属层180移除,以符合不同的临界电压要求。
请参阅图4。在移除第二栅极沟槽120t与第四栅极沟槽140t内的第一p型功函数金属层180之后,在第一栅极沟槽110t、第二栅极沟槽120t、第三栅极沟槽130t、第四栅极沟槽140t以及第五栅极沟槽150t内形成一第二p型功函数金属层182。如前所述,第二p型功函数金属层182可包含任何满足p型金属栅极的功函数需求的金属材料,故该等材料于此不再赘述。举例来说,在本优选实施例中,第二p型功函数金属层182优选同于第一p型功函数金属层180而为TiN,但不限于此。此外,第二p型功函数金属层182的厚度可以是但也不限于此。
请参阅图5。在形成第二p型功函数金属层182之后,在基底100上形成一牺牲掩模层100S。牺牲掩模层100S可为一填洞能力良好的膜层,例如可用旋转涂布方式形成的一底部抗反射(bottom anti-reflective coating,BARC)层、一多晶硅(polysilicon)层、一硅悬垂键(silicon dangling bond,SHB)低于43%的多硅层(Si-rich layer)、一旋涂式玻璃(spin-on glass,SOG)层、一牺牲吸光材料(sacrificial light absorbing material,SLAM)层、一富氧化物(oxide-rich)层如由美国Honeywell公司贩售的DUOTM等,但不限于此。此外,牺牲掩模层100S可如图5所示为一单一膜层,但其也可为一复合膜层(multi layer)。接下来,回蚀刻牺牲掩模层100S,使牺牲掩模层100S的表面低于各栅极沟槽110t~150t的开口。如图5所示,回蚀刻制作工艺后的牺牲掩模层100S并未填满各栅极沟槽110t~150t,但需完整覆盖并保护各栅极沟槽110t~150t的底部。
请继续参阅图5。随后利用牺牲掩模层100S作为遮蔽,以进行一蚀刻制作工艺,移除第一栅极沟槽110t、第三栅极沟槽130t以及第五栅极沟槽150t内的部分第一p型功函数金属层180与部分第二p型功函数金属层182,同时移除第二栅极沟槽120t与第四栅极沟槽140t内的部分第二p型功函数金属层182。上述蚀刻制作工艺使得第一栅极沟槽110t、第三栅极沟槽130t以及第五栅极沟槽150t内的第一p型功函数金属层180与第二p型功函数金属层182的最高部分低于上述栅极沟槽的开口,同时使得第二栅极沟槽120t与第四栅极沟槽140t内的第二p型功函数金属层182的最高部分低于上述栅极沟槽的开口。换句话说,蚀刻制作工艺是下拉(pull down)各栅极沟槽110t~150t内的p型功函数金属层180、182,使得第一p型功函数金属层180与第二p型功函数金属层182的任一最高部分低于各栅极沟槽110t~150t的开口。另外,由此可知,本优选实施例所提供的牺牲掩模层100s如图5所示,用以定义各栅极沟槽110t~150t内的第一p型功函数金属层180与第二p型功函数金属层182最高部分的位置。在此另需注意的是,由于第一p型功函数金属层180与第二p型功函数金属层182最高部分被下拉至低于栅极沟槽110t~150t的开口,故可降低栅极沟槽110t~150t的深宽比,更有利于后续金属膜层的填入。
请参阅图6。接下来,移除牺牲掩模层100S,随后于基底100上形成另一图案化掩模层(图未示),用以覆盖及保护第一p型FET元件140与第二p型FET元件150,并暴露出第一n型FET元件110、第二n型FET元件120以及第三n型FET元件130。在形成此一图案化掩模层之后,通过图案化掩模层进行一蚀刻制作工艺,用以移除第一栅极沟槽110t与第三栅极沟槽130t内的第一p型功函数金属层180与第二p型功函数金属层182,同时移除第二栅极沟槽120t内的第二p型功函数金属层182。随后移除图案化掩模层。如图6所示,在此蚀刻制作工艺之后,第一p型功函数金属层180仅形成于第五栅极沟槽150t内;而第二p型功函数金属层182存留于第四栅极沟槽140t与第五栅极沟槽140t内。
请参阅图7。接下来,在基底100上形成又一图案化掩模层(图未示),用以覆盖及保护第二n型FET元件120、第三n型FET元件130、第一p型FET元件140与第二p型FET元件150,并暴露出第一n型FET元件110。随后通过此一图案化掩模层蚀刻并移除第一栅极沟槽110t内的第二底部阻障层172与第一底部阻障层170。
此外请参阅图8,图8为本优选实施例的一示意图。在本变化型中,图案化硬掩模也可暴露出第三n型FET元件130,是以在移除第一栅极沟槽110t内的第二底部阻障层172与第一底部阻障层170时,第三栅极沟槽130t内的第二底部阻障层172与第一底部阻障层170可同时移除。使得high-k栅极介电层160如图8所示,暴露于第三栅极沟槽130t之内。随后,可进行后续步骤。
请参阅图9。在移除第一栅极沟槽110t内的第二底部阻障层172与第一底部阻障层170之后,移除图案化掩模层。随后于第一栅极沟槽110t、第二栅极沟槽120t、第三栅极沟槽130t、第四栅极沟槽140t以及第五栅极沟槽150t内依序且同位(in-situ)形成一第三p型功函数金属层184与一n型功函数金属层186。如前所述,第三p型功函数金属层184可包含任何满足p型金属栅极的功函数需求的金属材料,故该等材料于此不再赘述。举例来说,在本优选实施例中,第三p型功函数金属层184优选同于第一p型功函数金属层180与第二p型功函数金属层182而为TiN,但不限于此。另外,第三p型功函数金属层184的厚度可以是但不限于此。N型功函数金属层186可为一满足n型晶体管所需功函数要求的金属,例如铝化钛(TiAl)、铝化锆(ZrAl)、铝化钨(WAl)、铝化钽(TaAl)或铝化铪(HfAl)。如前所述,熟悉该项技术的人士应知,n型功函数金属层186可包含任何满足n型金属栅极的功函数需求(功函数介于3.9eV与4.3eV之间)的金属材料,故也不限于此。此外,n型功函数金属层186可以是单层结构或复合层结构,其厚度可以是但不限于此。值得注意的是,在本优选实施例中,形成于第一栅极沟槽110t内的第三p型功函数金属层184可直接作为第一栅极沟槽110t内high-k栅极介电层160与n型功函数金属层186之间的一第三底部阻障层184。换句话说,第一栅极沟槽110t内的第三底部阻障层184与第二栅极沟槽120t、第三栅极沟槽130t、第四栅极沟槽140t以及第五栅极沟槽150t内的第三p型功函数金属层184同时形成,且包含一相同材料。如图9所示,第一栅极沟槽110t内n型功函数金属层186直接接触第三底部阻障层184,而第二栅极沟槽120t、第三栅极沟槽130t、第四栅极沟槽140t以及第五栅极沟槽150t内的n型功函数金属层186直接接触第三p型功函数金属层184。
请参阅图10。在形成n型功函数金属层186之后,在第一栅极沟槽110t、第二栅极沟槽120t、第三栅极沟槽130t、第四栅极沟槽140t以及第五栅极沟槽150t内依序形成一顶部阻障层190与一填充金属层192。在本优选实施例中,顶部阻障层190可包含TiN,但不限于此。另外,顶部阻障层190的厚度可以是但不限于此。填充金属层192为具有优选填洞能力的单层金属层或复合金属层,其可包含铝(Al)、钛(Ti)、钽(Ta)、钨(W)、铌(Nb)、钼(Mo)、铜(Cu)、氮化钛(TiN)、碳化钛(TiC)、氮化钽(TaN)、钛钨(Ti/W)、或钛与氮化钛(Ti/TiN),但不限于此。
请参阅图11。在形成填充金属层192之后,进行一平坦化制作工艺,用以移除多余的金属层192、190、186、184、172、170与多余的high-k栅极介电层160,而于第一n型FET元件110内形成一第一n型金属栅极110M、在第二n型FET元件120内形成一第二n型金属栅极120M、在第三n型FET元件130内形成一第三n型金属栅极130M、在第一p型FET元件140内形成一第一p型金属栅极140M、以及于第二p型FET元件150内形成一第二p型金属栅极150M。
请继续参阅图11。由此可知,本优选实施例所提供的具有金属栅极的半导体元件,包含有多种不同组合的金属栅极。如图11所示,在第一n型FET元件110中,第一n型金属栅极110M由下而上依序包含high-k栅极介电层160、材料与第三p型功函数金属层184相同的第三底部阻障层184、与第三底部阻障层184直接接触的n型功函数金属层186、顶部阻障层190以及填充金属层192。也就是说,high-k栅极介电层160夹设于第三底部阻障层184与基底100之间,且第三底部阻障层184直接接触high-k栅极介电层160。首先须注意的是,由于TaN对于n型金属栅极的临界电压影响较大,尤其在低临界电压要求下,TaN会使得n型金属电极的临界电压无法下降。因此在本优选实施例中,特以将现有技术中常作为底部阻障层或蚀刻停止层的TaN层去除,使得具有较低临界电压要求的第一n型金属栅极110M中不包含任何TaN材料。更重要的是,由于第一n型金属栅极110M中不包含任何TaN材料,故第一n型金属栅极110M的临界电压可完全通过n型功函数金属层186的厚度调整。与具有TaN的现有n型金属栅极相较,本优选实施例可将第一n型金属栅极110M中的n型功函数金属层186厚度降低20%~40%,并获得目标的低临界电压。
请继续参阅图11。在第二n型FET元件120与第三n型FET元件130中,第二n型金属栅极120M与第三n型金属栅极130M由下而上依序包含high-k栅极介电层160、第一底部阻障层170、第二底部阻障层172、材料与第三底部阻障层184相同的第三p型功函数金属层184、n型功函数金属层186、顶部阻障层190与填充金属层192。也就是说,high-k栅极介电层160夹设于第一底部阻障层170与基底100之间、第二底部阻障层172夹设于第三p型功函数金属层184与第一底部阻障层170之间、第三p型功函数金属层184夹设于第二底部阻障层172与n型功函数金属层186之间。由于第二n型FET元件120的临界电压要求较高,因此在第二n型金属栅极120M中,可保留第一底部阻障层170以及包含TaN的第二底部阻障层172。
请继续参阅图11。在第一p型FET元件140中,第一p型金属栅极140M由下而上依序包含high-k栅极介电层160、第一底部阻障层170、第二底部阻障层172、第二p型功函数金属层182、材料与第三底部阻障层184相同的第三p型功函数金属层184、n型功函数金属层186、顶部阻障层190与填充金属层192。也就是说,high-k栅极介电层160夹设于第一底部阻障层170与基底100之间、第二底部阻障层172夹设于第一底部阻障层170与第二p型功函数金属层182之间,而第二p型功函数金属层182夹设于第二底部阻障层172与第三p型功函数金属层184之间。在第二p型FET元件150中,第二p型金属栅极150M由下而上依序包含high-k栅极介电层160、第一底部阻障层170、第二底部阻障层172、第一p型功函数金属层180、第二p型功函数金属层182、材料与第三底部阻障层184相同的第三p型功函数金属层184、n型功函数金属层186、顶部阻障层190与填充金属层192。也就是说,high-k栅极介电层160夹设于第一底部阻障层170与基底100之间、第二底部阻障层172夹设于第一底部阻障层170与第一p型功函数金属层180之间、而第一p型功函数金属层180夹设于第二底部阻障层172与第二p型功函数金属层182之间。由此可知,第一p型FET元件140与第二p型FET元件150可通过厚度不同的p型功函数金属层获得不同的临界电压:第一p型FET元件140中,p型功函数金属层的厚度为第二p型功函数金属层182与第三p型功函数金属层184的和;而第二p型FET元件140中,p型功函数金属层的厚度为第一p型功函数金属层180、第二p型功函数金属层182与第三p型功函数金属层184的和,故第二p型FET元件150的p型功函数金属层的厚度大于第一p型FET元件140,并可获得较高的临界电压。另外值得注意的是,由于第一p型金属栅极140M与第二p型金属栅极150M内的n型功函数金属层186厚度降低,故可使得后续膜层例如顶部阻障层190与填充金属层192可更容易填入栅极沟槽140t、150t内,而更获得改善沟槽填充结果的功效。
另外请参阅图12,图12前述变化型的示意图。如图12所示,在移除第三栅极沟槽130t内的第二底部阻障层172与第一底部阻障层170之后,可降低第三栅极沟槽130t的深宽比,而能改善后续填入材料与第三p型功函数金属层184相同的第三底部阻障层184、n型功函数金属层186、顶部阻障层190以及填充金属层192的沟槽填充结果。且如图12所示,本变化型所提供的第三n型金属栅极130M中由下而上依序包含high-k栅极介电层160、材料与第三p型功函数金属层184相同的第三底部阻障层184、与第三底部阻障层184直接接触的n型功函数金属层186、顶部阻障层190以及填充金属层192。且由于第三n型金属栅极130M内不再包含有第二底部阻障层层172与第一底部阻障层170,故材料与第三p型功函数金属层184相同的第三底部阻障层184直接接触high-k栅极介电层160。
值得注意的是,本优选实施例中,在其他n型或p型FET元件中作为第三p型功函数金属层的TiN层直接作为低临界电压要求的n型FET元件的底部阻障层。也就是说,低临界电压要求的n型FET元件的底部阻障层会与其他n型或p型FET元件中最后形成的p型功函数金属层包含相同的材料。另外,如前所述,本优选实施例所提供的具有金属栅极的半导体元件除可利用不同的功函数金属层导电类型与厚度调整临界电压之外,也可另外通过掺杂制作工艺调整临界电压,或可通过调整栅极介电层厚度来调整击穿电压。
更重要的是,本优选实施例提供至少三种不同的n型金属栅极:位于主动区域内且不包含TaN材料的第一n型金属栅极110M、位于主动区域内但包含TaN材料的第二n型金属栅极120M、以及位于隔离结构102且包含TaN材料的第三n型金属栅极130M。
根据本发明所提供的具有金属栅极的半导体元件及其制作方法,利用不同的金属层组合,使得具有相同导电类型的FET元件获得不同的临界电压,以符合不同的功能要求。此外,本发明所提供的具有金属栅极的半导体元件可整合与平面型(planar)FET元件制作工艺,也可整于非平面型(non-planar)FET元件制作工艺。也就是说,本发明所提供的具有金属栅极的半导体元件及其制作方法可在不增加制作工艺复杂度的前提下,有效地提升集成电路的复杂度与性能。
以上所述仅为本发明的优选实施例,凡依本发明权利要求所做的均等变化与修饰,都应属本发明的涵盖范围。
Claims (21)
1.一种具有金属栅极的半导体元件,包含有:
基底,该基底内设置有多个隔离结构;
第一n型场效晶体管(field effect transistor,FET)元件,设置于该基底上,该第一n型FET元件包含有一第一n型金属栅极,且该第一n型金属栅极包含有:
第三底部阻障层;以及
n型功函数金属层,且该n型功函数金属层直接接触该第三底部阻障层;以及
第二n型FET元件,设置于该基底上,该第二n型FET元件包含有一第二n型金属栅极,且该第二n型金属栅极包含有:
第二底部阻障层;
该n型功函数金属层;以及
第三p型功函数金属层,该第三p型功函数金属层夹设于该第二底部阻障层与该n型功函数金属层之间,
其中该第二n型FET元件的该第三p型功函数金属层与该第一n型FET元件的该第三底部阻障层包含相同材料。
2.如权利要求1所述的具有金属栅极的半导体元件,其中该第三底部阻障层与该第三p型功函数金属层包含氮化钛(titanium nitride,TiN),且该第二底部阻障层包含氮化钽(tantalum nitride,TaN)。
3.如权利要求1所述的具有金属栅极的半导体元件,其中该第二n型金属栅极还包含第一底部阻障层,且该第二底部阻障层夹设于该第三p型功函数金属层与该第一底部阻障层之间。
4.如权利要求3所述的具有金属栅极的半导体元件,还包含高介电常数栅极介电层,设置于该第一n型FET元件的该第三底部阻障层与该基底之间,以及设置于该第二n型FET元件的该第一底部阻障层与该基底之间。
5.如权利要求1所述的具有金属栅极的半导体元件,其中还包含第三n型FET元件、第一p型FET元件与第二p型FET元件,且该第三n型FET元件设置于该隔离结构上。
6.如权利要求5所述的具有金属栅极的半导体元件,其中该第一p型FET元件还包含第一p型金属栅极,且该第一p型金属栅极包含该第二底部阻障层、该第三p型功函数金属层、该n型功函数金属层、以及第二p型功函数金属层,且该第二p型功函数金属层夹设于该第二底部阻障层与该第三p型功函数金属层之间。
7.如权利要求6所述的具有金属栅极的半导体元件,其中该第二p型FET元件包含第二p型金属栅极,且该第二p型金属栅极包含该第二底部阻障层、该第二p型功函数金属层、该第三p型功函数金属层、该n型功函数金属层、以及第一p型功函数金属层,且该第一p型功函数金属层夹设于该第二底部阻障层与该第二p型功函数金属层之间。
8.如权利要求7所述的具有金属栅极的半导体元件,其中该第一p型金属栅极还包含第一底部阻障层,且该第一p型金属栅极的该第二底部阻障层夹设于该第一底部阻障层与该第二p型功函数金属层之间,该第二p型金属栅极还包含该第一底部阻障层,且该第二p型金属栅极的该第二底部阻障层夹设于该第一底部阻障层与该第一p型功函数金属层之间。
9.如权利要求7所述的具有金属栅极的半导体元件,其中该第三nFET元件包含第三n型金属栅极,且该第三n型金属栅极包含该第二底部阻障层、该第三p型功函数金属层与该n型功函数金属层,且该第三p型功函数金属层夹设于该第二底部阻障层与该n型功函数金属层之间。
10.如权利要求9所述的具有金属栅极的半导体元件,还包含:
高介电常数栅极介电层,设置于该第一p型金属栅极的第一底部阻障层与该基底之间,以及设置于该第二p型金属栅极的该第一底部阻障层与该基底之间;以及
顶部阻障层与填充金属层,设于该第一p型金属栅极与该第二p型金属栅极的该n型功函数金属层上。
11.如权利要求5所述的具有金属栅极的半导体元件,其中该第三nFET元件包含第三n型金属栅极,且该第三n型金属栅极包含高介电常数栅极介电层、该第三底部阻障层、与该n型功函数金属层,且该第三底部阻障层直接接触该高介电常数栅极介电层。
12.一种具有金属栅极的半导体元件的制作方法,包含有:
提供一基底,该基底内设置有多个隔离结构,且该基底上设置有第一n型FET元件与第二n型FET元件,该第一n型FET元件包含有第一栅极沟槽,该第二n型FET元件包含有第二栅极沟槽;
同时于该第一栅极沟槽内形成一第三底部阻障层与于该第二栅极沟槽内形成一第三p型功函数金属层,且该第三底部阻障层与该第三p型功函数金属层包含一相同材料;以及
在该第一栅极沟槽与该第二栅极沟槽内形成一n型功函数金属层,且该第一栅极沟槽内的该n型功函数金属层直接接触该第三底部阻障层,该第二栅极沟槽内的该n型功函数金属层直接接触该第三p型功函数金属层。
13.如权利要求12所述的制作方法,其中该第三底部阻障层与该第三p型功函数金属层包含氮化钛。
14.如权利要求12所述的制作方法,其中该基底上还设置有第三n型FET元件、第一p型FET元件与第二p型FET元件,且该第三n型FET元件包含有第三栅极沟槽、该第一p型FET元件包含有一第四栅极沟槽、该第二p型FET元件包含有一第五栅极沟槽。
15.如权利要求14所述的制作方法,还包含以下步骤,进行于同时于该第一栅极沟槽内形成该第三底部阻障层与于该第二栅极沟槽内形成该第三p型功函数金属层之前:
在该第一栅极沟槽、该第二栅极沟槽、该第三栅极沟槽、该第四栅极沟槽与该第五栅极沟槽内依序形成一第一底部阻障层与一第二底部阻障层;
在该第五栅极沟槽内形成一第一p型功函数金属层;
在该第四栅极沟槽与该第五栅极沟槽内形成一第二p型功函数金属层;以及
移除该第一栅极沟槽内的该第一底部阻障层与该第二阻障层。
16.如权利要求15所述的制作方法,其中该第一底部阻障层包含氮化钛,该第二底部阻障层包含氮化钽。
17.如权利要求15所述的制作方法,还包含:
在该第一栅极沟槽、该第二栅极沟槽、该第三栅极沟槽、该第四栅极沟槽与该第五栅极沟槽内形成该第一p型功函数金属层;以及
移除该第二栅极沟槽与该第四栅极沟槽内的第一p型功函数金属层。
18.如权利要求17所述的制作方法,还包含:
在该第一栅极沟槽、该第二栅极沟槽、该第三栅极沟槽、该第四栅极沟槽与该第五栅极沟槽内形成该第二p型功函数金属层;以及
移除该第一栅极沟槽、该第二栅极沟槽与该第三栅极沟槽内的该第二p型功函数金属层。
19.如权利要求18所述的制作方法,还包含:移除该第一栅极沟槽与该第三栅极沟槽内的该第一p型功函数金属层与该第二p型功函数金属,以及同时移除该第一栅极沟槽内的该第二p型功函数金属层。
20.如权利要求19所述的制作方法,还包含于移除第一栅极沟槽内的该第一底部阻障层与该第二底部阻障层时,同时移除该第三栅极沟槽内的该第一底部阻障层与该第二底部阻障层。
21.如权利要求15所述的制作方法,还包含移除该第四栅极沟槽内的部分该第二p型功函数金属层,以及移除该第五栅极沟槽内的部分该第一p型功函数金属层与该第二p型功函数金属层,使该第四栅极沟槽内的该第二p型功函数金属层的最高部分低于该第四栅极沟槽的开口,以及使该第五栅极沟槽内的该第一p型功函数金属层与该第二p型功函数金属层的最高部分低于该第五栅极沟槽的开口。
Priority Applications (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201510445767.XA CN106409830B (zh) | 2015-07-27 | 2015-07-27 | 具有金属栅极的半导体元件及其制作方法 |
US14/834,439 US9530778B1 (en) | 2015-07-27 | 2015-08-25 | Semiconductor devices having metal gate and method for manufacturing semiconductor devices having metal gate |
US15/352,605 US9831133B2 (en) | 2015-07-27 | 2016-11-16 | Semiconductor devices having metal gate and method for manufacturing semiconductor devices having metal gate |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201510445767.XA CN106409830B (zh) | 2015-07-27 | 2015-07-27 | 具有金属栅极的半导体元件及其制作方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN106409830A true CN106409830A (zh) | 2017-02-15 |
CN106409830B CN106409830B (zh) | 2020-05-05 |
Family
ID=57590149
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201510445767.XA Active CN106409830B (zh) | 2015-07-27 | 2015-07-27 | 具有金属栅极的半导体元件及其制作方法 |
Country Status (2)
Country | Link |
---|---|
US (2) | US9530778B1 (zh) |
CN (1) | CN106409830B (zh) |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN108417619A (zh) * | 2018-04-13 | 2018-08-17 | 上海华力集成电路制造有限公司 | 具有hkmg的pmos |
CN108695321A (zh) * | 2017-04-07 | 2018-10-23 | 中芯国际集成电路制造(上海)有限公司 | 半导体装置及其制造方法 |
CN108831919A (zh) * | 2018-05-04 | 2018-11-16 | 上海华力集成电路制造有限公司 | 平面栅mosfet |
CN109119420A (zh) * | 2017-06-23 | 2019-01-01 | 三星电子株式会社 | 半导体器件及其制造方法 |
CN109768013A (zh) * | 2017-11-09 | 2019-05-17 | 台湾积体电路制造股份有限公司 | 鳍式集成电路器件及其阈值电压调节方法 |
CN109994472A (zh) * | 2018-01-03 | 2019-07-09 | 联华电子股份有限公司 | 半导体元件与其制作方法 |
US10354928B2 (en) | 2017-04-21 | 2019-07-16 | Globalfoundries Inc. | Integration scheme for gate height control and void free RMG fill |
Families Citing this family (28)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102290685B1 (ko) * | 2015-06-04 | 2021-08-17 | 삼성전자주식회사 | 반도체 장치 |
CN106409830B (zh) * | 2015-07-27 | 2020-05-05 | 联华电子股份有限公司 | 具有金属栅极的半导体元件及其制作方法 |
KR102286112B1 (ko) * | 2015-10-21 | 2021-08-04 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
US10147799B2 (en) * | 2016-01-15 | 2018-12-04 | Taiwan Semiconductor Manufacturing Company Limited | Method of fabricating tantalum nitride barrier layer and semiconductor device thereof |
US10079182B2 (en) * | 2016-01-15 | 2018-09-18 | International Business Machines Corporation | Field effect transistor gate stack |
US10068901B2 (en) * | 2016-01-25 | 2018-09-04 | Samsung Electronics Co., Ltd. | Semiconductor device including transistors with different threshold voltages |
US10256161B2 (en) * | 2016-02-17 | 2019-04-09 | International Business Machines Corporation | Dual work function CMOS devices |
CN107452604B (zh) * | 2016-06-01 | 2019-11-01 | 中芯国际集成电路制造(上海)有限公司 | 半导体装置及其制造方法 |
US9685520B1 (en) * | 2016-11-17 | 2017-06-20 | United Microelectronics Corp. | Manufacturing method of semiconductor device |
CN108122844B (zh) | 2016-11-30 | 2020-06-09 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US10651171B2 (en) | 2016-12-15 | 2020-05-12 | Taiwan Semiconductor Manufacturing Co. Ltd. | Integrated circuit with a gate structure and method making the same |
KR20180070780A (ko) * | 2016-12-16 | 2018-06-27 | 삼성전자주식회사 | 반도체 장치 |
CN108258033B (zh) | 2016-12-29 | 2020-12-22 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其形成方法 |
TWI730119B (zh) * | 2017-06-09 | 2021-06-11 | 聯華電子股份有限公司 | 具有金屬閘極之半導體元件之製作方法 |
US11114347B2 (en) * | 2017-06-30 | 2021-09-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-protective layer formed on high-k dielectric layers with different materials |
KR102271008B1 (ko) | 2017-10-27 | 2021-06-29 | 삼성전자주식회사 | 반도체 장치 |
KR102487549B1 (ko) | 2017-11-23 | 2023-01-11 | 삼성전자주식회사 | 트랜지스터들을 포함하는 반도체 소자 |
KR102417179B1 (ko) * | 2017-12-19 | 2022-07-05 | 삼성전자주식회사 | 다치형 문턱 전압을 갖는 반도체 소자 |
KR102481284B1 (ko) | 2018-04-10 | 2022-12-27 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
KR102574322B1 (ko) | 2018-06-27 | 2023-09-05 | 삼성전자주식회사 | 반도체 장치 |
US10879392B2 (en) * | 2018-07-05 | 2020-12-29 | Samsung Electronics Co., Ltd. | Semiconductor device |
US10867864B2 (en) | 2018-09-27 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US11264288B2 (en) * | 2018-09-28 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure and patterning method |
US11329042B2 (en) * | 2018-11-30 | 2022-05-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures having neutral zones to minimize metal gate boundary effects and methods of fabricating thereof |
US11417653B2 (en) * | 2019-09-30 | 2022-08-16 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for forming the same |
US11855163B2 (en) * | 2020-06-23 | 2023-12-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US20210408235A1 (en) * | 2020-06-25 | 2021-12-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with silicide gate fill structure |
US11728171B2 (en) * | 2020-06-25 | 2023-08-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with metal gate fill structure |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102148147A (zh) * | 2010-02-08 | 2011-08-10 | 台湾积体电路制造股份有限公司 | 半导体元件金属栅极堆叠的制造方法 |
US20140027857A1 (en) * | 2012-07-24 | 2014-01-30 | Huaxiang Yin | Semiconductor device and method of manufacturing the same |
US20140187028A1 (en) * | 2013-01-02 | 2014-07-03 | Globalfoundries Inc. | Concurrently Forming nFET and pFET Gate Dielectric Layers |
US20150214115A1 (en) * | 2012-04-26 | 2015-07-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device and methods for high-k and metal gate stacks |
Family Cites Families (32)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4600417B2 (ja) | 2007-04-17 | 2010-12-15 | ソニー株式会社 | 半導体装置の製造方法 |
US8058119B2 (en) * | 2008-08-27 | 2011-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device scheme of HKMG gate-last process |
US7803687B2 (en) | 2008-10-17 | 2010-09-28 | United Microelectronics Corp. | Method for forming a thin film resistor |
US8643113B2 (en) | 2008-11-21 | 2014-02-04 | Texas Instruments Incorporated | Structure and method for metal gate stack oxygen concentration control using an oxygen diffusion barrier layer and a sacrificial oxygen gettering layer |
US8637936B2 (en) | 2009-09-25 | 2014-01-28 | United Microelectronics Corp. | Metal gate transistor with resistor |
US8227890B2 (en) | 2009-12-18 | 2012-07-24 | United Microelectronics Corporation | Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse |
US8964455B2 (en) * | 2010-03-10 | 2015-02-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for a SRAM circuit |
US8569128B2 (en) * | 2010-06-21 | 2013-10-29 | Suvolta, Inc. | Semiconductor structure and method of fabrication thereof with mixed metal types |
US8278173B2 (en) | 2010-06-30 | 2012-10-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating gate structures |
US9543406B2 (en) * | 2010-11-30 | 2017-01-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for overlay marks |
DE102011003232B4 (de) | 2011-01-27 | 2013-03-28 | GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG | Herstellverfahren für Metallgateelektrodenstrukturen mit großem ε, die durch ein Austauschgateverfahren auf der Grundlage einer verbesserten Ebenheit von Platzhaltermaterialien hergestellt sind |
US9384962B2 (en) | 2011-04-07 | 2016-07-05 | United Microelectronics Corp. | Oxygen treatment of replacement work-function metals in CMOS transistor gates |
US20120319198A1 (en) | 2011-06-16 | 2012-12-20 | Chin-Cheng Chien | Semiconductor device and fabrication method thereof |
US8753968B2 (en) | 2011-10-24 | 2014-06-17 | United Microelectronics Corp. | Metal gate process |
US9147678B2 (en) | 2012-01-04 | 2015-09-29 | United Microelectronics Corp. | Resistor and fabrication method thereof |
US8987096B2 (en) | 2012-02-07 | 2015-03-24 | United Microelectronics Corp. | Semiconductor process |
US8524556B1 (en) | 2012-03-14 | 2013-09-03 | United Microelectronics Corp. | Resistor and manufacturing method thereof |
KR101909091B1 (ko) * | 2012-05-11 | 2018-10-17 | 삼성전자 주식회사 | 반도체 장치 및 그 제조 방법 |
US9105623B2 (en) * | 2012-05-25 | 2015-08-11 | United Microelectronics Corp. | Semiconductor device having metal gate and manufacturing method thereof |
US9130023B2 (en) * | 2012-06-05 | 2015-09-08 | Kabushiki Kaisha Toshiba | Isolated insulating gate structure |
US9129985B2 (en) * | 2013-03-05 | 2015-09-08 | United Microelectronics Corp. | Semiconductor device having metal gate and manufacturing method thereof |
US9012319B1 (en) * | 2013-11-01 | 2015-04-21 | Globalfoundries Inc. | Methods of forming gate structures with multiple work functions and the resulting products |
KR102128450B1 (ko) * | 2013-11-12 | 2020-06-30 | 에스케이하이닉스 주식회사 | 트랜지스터의 문턱전압조절을 위한 방법 및 게이트구조물 |
CN105470200B (zh) * | 2014-09-09 | 2020-04-21 | 联华电子股份有限公司 | 具有金属栅极的半导体元件及其制作方法 |
US9922880B2 (en) * | 2014-09-26 | 2018-03-20 | Qualcomm Incorporated | Method and apparatus of multi threshold voltage CMOS |
CN105448918B (zh) * | 2014-09-30 | 2020-05-12 | 联华电子股份有限公司 | 互补金属氧化物半导体与其制作方法 |
US9443726B1 (en) * | 2015-03-13 | 2016-09-13 | United Microelectronics Corp. | Semiconductor process |
CN106033745B (zh) * | 2015-03-19 | 2020-07-07 | 联华电子股份有限公司 | 半导体元件及其形成方法 |
US9685383B2 (en) * | 2015-05-13 | 2017-06-20 | United Microelectronics Corp. | Method of forming semiconductor device |
CN106409830B (zh) * | 2015-07-27 | 2020-05-05 | 联华电子股份有限公司 | 具有金属栅极的半导体元件及其制作方法 |
KR102474431B1 (ko) * | 2015-12-08 | 2022-12-06 | 삼성전자주식회사 | 반도체 소자의 제조방법 |
US9685520B1 (en) * | 2016-11-17 | 2017-06-20 | United Microelectronics Corp. | Manufacturing method of semiconductor device |
-
2015
- 2015-07-27 CN CN201510445767.XA patent/CN106409830B/zh active Active
- 2015-08-25 US US14/834,439 patent/US9530778B1/en active Active
-
2016
- 2016-11-16 US US15/352,605 patent/US9831133B2/en active Active
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102148147A (zh) * | 2010-02-08 | 2011-08-10 | 台湾积体电路制造股份有限公司 | 半导体元件金属栅极堆叠的制造方法 |
US20150214115A1 (en) * | 2012-04-26 | 2015-07-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device and methods for high-k and metal gate stacks |
US20140027857A1 (en) * | 2012-07-24 | 2014-01-30 | Huaxiang Yin | Semiconductor device and method of manufacturing the same |
US20140187028A1 (en) * | 2013-01-02 | 2014-07-03 | Globalfoundries Inc. | Concurrently Forming nFET and pFET Gate Dielectric Layers |
Cited By (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN108695321B (zh) * | 2017-04-07 | 2021-09-03 | 中芯国际集成电路制造(上海)有限公司 | 半导体装置及其制造方法 |
CN108695321A (zh) * | 2017-04-07 | 2018-10-23 | 中芯国际集成电路制造(上海)有限公司 | 半导体装置及其制造方法 |
US10354928B2 (en) | 2017-04-21 | 2019-07-16 | Globalfoundries Inc. | Integration scheme for gate height control and void free RMG fill |
TWI676209B (zh) * | 2017-04-21 | 2019-11-01 | 美商格芯(美國)集成電路科技有限公司 | 用於閘極高度控制及無空隙rmg填充之整合方案 |
CN109119420A (zh) * | 2017-06-23 | 2019-01-01 | 三星电子株式会社 | 半导体器件及其制造方法 |
CN109119420B (zh) * | 2017-06-23 | 2023-12-05 | 三星电子株式会社 | 半导体器件及其制造方法 |
CN109768013A (zh) * | 2017-11-09 | 2019-05-17 | 台湾积体电路制造股份有限公司 | 鳍式集成电路器件及其阈值电压调节方法 |
CN109768013B (zh) * | 2017-11-09 | 2021-02-26 | 台湾积体电路制造股份有限公司 | 鳍式集成电路器件及其阈值电压调节方法 |
US11322410B2 (en) | 2017-11-09 | 2022-05-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Threshold voltage tuning for fin-based integrated circuit device |
CN109994472A (zh) * | 2018-01-03 | 2019-07-09 | 联华电子股份有限公司 | 半导体元件与其制作方法 |
CN109994472B (zh) * | 2018-01-03 | 2021-12-28 | 联华电子股份有限公司 | 半导体元件与其制作方法 |
CN108417619A (zh) * | 2018-04-13 | 2018-08-17 | 上海华力集成电路制造有限公司 | 具有hkmg的pmos |
CN108831919A (zh) * | 2018-05-04 | 2018-11-16 | 上海华力集成电路制造有限公司 | 平面栅mosfet |
Also Published As
Publication number | Publication date |
---|---|
CN106409830B (zh) | 2020-05-05 |
US9831133B2 (en) | 2017-11-28 |
US20170062282A1 (en) | 2017-03-02 |
US9530778B1 (en) | 2016-12-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN106409830A (zh) | 具有金属栅极的半导体元件及其制作方法 | |
US9978850B2 (en) | Contact for high-k metal gate device | |
US9947766B2 (en) | Semiconductor device and fabricating method thereof | |
US10418460B2 (en) | Dummy gate structure and methods thereof | |
CN113659004B (zh) | 半导体元件及其制作方法 | |
US8507979B1 (en) | Semiconductor integrated circuit with metal gate | |
US20150144999A1 (en) | Structure and Method For FinFET Device With Buried Sige Oxide | |
US10418361B2 (en) | Circuit incorporating multiple gate stack compositions | |
US9419100B2 (en) | Method for fabricating a metal gate electrode | |
CN107026126B (zh) | 半导体元件及其制作方法 | |
CN107516668B (zh) | 半导体装置及其制造方法 | |
US20230369450A1 (en) | Gate structure and methods thereof | |
CN106409889B (zh) | 半导体元件 | |
US20190006488A1 (en) | Gate structure and methods thereof | |
TW202347452A (zh) | 半導體元件及其製作方法 | |
TW202339000A (zh) | 半導體元件及其製作方法 | |
TW202205535A (zh) | 半導體結構及其製造方法 | |
US20240120239A1 (en) | Multi-gate device fabrication methods and related structures | |
CN114121660B (zh) | 半导体元件及其制作方法 | |
US20230067672A1 (en) | Semiconductor device and manufacturing method thereof | |
CN117457498A (zh) | 制造半导体器件的方法 | |
CN118486590A (zh) | 半导体元件 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |