CN106206520A - 集成电路元件、其形成方法及封装组件 - Google Patents

集成电路元件、其形成方法及封装组件 Download PDF

Info

Publication number
CN106206520A
CN106206520A CN201610674177.9A CN201610674177A CN106206520A CN 106206520 A CN106206520 A CN 106206520A CN 201610674177 A CN201610674177 A CN 201610674177A CN 106206520 A CN106206520 A CN 106206520A
Authority
CN
China
Prior art keywords
layer
projection cube
cube structure
substrate
sidewall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201610674177.9A
Other languages
English (en)
Inventor
黄见翎
吴逸文
刘重希
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106206520A publication Critical patent/CN106206520A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05601Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/05611Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05671Chromium [Cr] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/1012Auxiliary members for bump connectors, e.g. spacers
    • H01L2224/10122Auxiliary members for bump connectors, e.g. spacers being formed on the semiconductor or solid-state body to be connected
    • H01L2224/10125Reinforcing structures
    • H01L2224/10126Bump collar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/1012Auxiliary members for bump connectors, e.g. spacers
    • H01L2224/10122Auxiliary members for bump connectors, e.g. spacers being formed on the semiconductor or solid-state body to be connected
    • H01L2224/10145Flow barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/11011Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device, e.g. coating, dummy feature
    • H01L2224/11019Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device, e.g. coating, dummy feature for protecting parts during the process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/1145Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/11452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/116Manufacturing methods by patterning a pre-deposited material
    • H01L2224/1162Manufacturing methods by patterning a pre-deposited material using masks
    • H01L2224/11622Photolithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/11848Thermal treatments, e.g. annealing, controlled cooling
    • H01L2224/11849Reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13083Three-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/1355Shape
    • H01L2224/13551Shape being non uniform
    • H01L2224/13552Shape being non uniform comprising protrusions or indentations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/1356Disposition
    • H01L2224/13563Only on parts of the surface of the core, i.e. partial coating
    • H01L2224/13565Only outside the bonding interface of the bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/1357Single coating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13575Plural coating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/13686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/1369Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81193Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/81447Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01012Magnesium [Mg]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01024Chromium [Cr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01025Manganese [Mn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0103Zinc [Zn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01038Strontium [Sr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0104Zirconium [Zr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/050414th Group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/06Polymers
    • H01L2924/07Polyamine or polyimide
    • H01L2924/07025Polyimide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/141Analog devices
    • H01L2924/142HF devices
    • H01L2924/1421RF devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1431Logic devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1437Static random-access memory [SRAM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/38Effects and problems related to the device integration
    • H01L2924/384Bump effects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/38Effects and problems related to the device integration
    • H01L2924/384Bump effects
    • H01L2924/3841Solder bridging

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供用于铜柱凸块技术的L型侧壁保护工艺,L型侧壁保护结构由至少一非金属材料层形成。本发明还提供一种集成电路元件、其形成方法及封装组件,该集成电路元件包括:一凸块下金属层和一凸块结构,设置于一半导体基底上,其中该凸块结构包括一顶部表面及一侧壁表面,且凸块结构包括一导电柱以及一焊锡层在该导电柱之上,该半导体基底包括一表面区邻接凸块结构的侧壁表面,凸块下金属层设置于凸块结构与半导体基底之间,且凸块下金属层的侧壁和凸块结构的侧壁组成一垂直于半导体基底的表面的平面;以及一L型保护结构,覆盖凸块结构的侧壁表面,且延伸至半导体基底的表面区。本发明可以应用在微细间距的凸块架构。

Description

集成电路元件、其形成方法及封装组件
本申请是申请号为201010529468.1、申请日为2010年10月29日、发明名称为“集成电路元件、其形成方法及封装组件”的发明专利申请的分案申请。
技术领域
本发明涉及集成电路的制造,特别涉及在集成电路元件中的凸块结构。
背景技术
现代的集成电路是由数百万计的有源元件形成,例如晶体管与电容器,这些元件最初是互相隔绝的,但是之后会互相连接在一起,形成功能性的电路。典型的内连线结构包含横向的内连线,例如金属线(导线),以及垂直的内连线,例如导孔与接点,内连线对于现代集成电路的密度与效能的限制越来越具有决定性。在内连线结构的顶端上会形成接合垫(bond pad),并且接合垫在个别芯片的表面上会暴露出来,经由接合垫可形成连接芯片至封装基底或其他晶粒的电性连接,接合垫可用在导线接合或倒装芯片接合。
倒装芯片封装技术使用凸块建立在芯片的输入/输出垫片(I/O pad)与基底或封装体的导线架(lead frame)之间的电性接触。在结构上,凸块实际上含有凸块本身,以及位于凸块与输入/输出垫片之间所谓的凸块下金属层(under bump metallurgy;UBM)。凸块下金属层一般含有粘着层、阻挡层以及润湿层(wetting layer),依序排列在输入/输出垫片上。凸块本身基于其使用的材料可分类为焊锡凸块、金凸块、铜柱凸块以及具有混合金属的凸块。近年来,铜柱凸块技术已经被提出,其取代焊锡凸块的使用,使得电子元件通过铜柱凸块连接至基底,其可具有最小机率的凸块架桥,因而达到较细微的间距,降低电路的电容负载,并且让电子元件可在较高的频率下执行。
铜柱凸块倒装芯片组件具有以下优点:(1)较佳的热/电子效能,(2)较高的电流承载容积,(3)对于电子迁移具有较佳的阻抗,因此可延长凸块的寿命,(4)在铜柱凸块之间具有较小的铸造空隙,较一致的间隙。此外,通过使用铜柱控制的焊锡散布,消除无铅珠状物的设计,可达到较低成本的基底。然而,铜在制造过程中容易氧化,氧化的铜柱会导致电子元件对基底的粘着性变差,较差的粘着性会导致较高的漏电流而造成严重的可靠度问题。氧化的铜柱也会导致底部填胶沿着底部填胶与铜柱之间的界面裂开,此裂缝可能会蔓延至底下的低介电常数介电层,或者蔓延至用于接合铜柱至基底的焊锡。因此,需要侧壁保护层来避免铜氧化,但是传统处理铜柱侧壁的方法会遭受较高制造成本以及界面脱层问题。目前使用化学浸锡工艺(immersion tin process)在铜柱侧壁上提供锡层,但是仍然有制造成本、锡与底部填胶之间的粘着力,以及焊锡润湿至侧壁上的问题产生,其对于新世代芯片的微细间距封装技术是一种挑战。
发明内容
为克服现有技术中的缺陷,在一实施例中,提供集成电路元件,包括:一凸块下金属层和一凸块结构,设置于一半导体基底上,其中该凸块结构包括一顶部表面及一侧壁表面,且该凸块结构包括一导电柱以及一焊锡层在该导电柱之上,该半导体基底包括一表面区邻接该凸块结构的该侧壁表面,该凸块下金属层设置于该凸块结构与该半导体基底之间,且该凸块下金属层的侧壁和该凸块结构的侧壁组成一垂直于该半导体基底的表面的平面;以及一L型保护结构,覆盖该凸块结构的该侧壁表面,且延伸至该半导体基底的该表面区,其中该L型保护结构由一非金属材料层形成,且该L型保护结构的一上表面高于回焊后的该焊锡层的顶部表面。
在一实施例中,提供集成电路元件,包括凸块结构设置于半导体基底上,其中凸块结构包括顶部表面及侧壁表面,且半导体基底包括表面区邻接凸块结构的侧壁表面,以及L型保护结构覆盖凸块结构的侧壁表面,且延伸至半导体基底的表面区,其中L型保护结构由非金属材料层形成。
在一实施例中,提供封装组件,包括:一第一基底;一凸块下金属层和一凸块结构,设置于该第一基底上,其中该凸块结构包括一凸块下金属层设置于该第一基底上,铜柱设置于该凸块下金属层上,以及一焊锡层设置于该铜柱之上,且该凸块结构具有一顶部表面以及一侧壁表面邻接该第一基底的一表面区,该凸块下金属层设置于该凸块结构与该第一基底之间,且该凸块下金属层的侧壁和该凸块结构的侧壁组成一垂直于该第一基底的表面的平面;一L型保护结构,覆盖该凸块结构的该侧壁表面,且延伸至该第一基底的该表面区,其中该L型保护结构由一非金属材料层形成,且该L型保护结构的一上表面高于回焊后的该焊锡层的顶部表面;一第二基底;以及一接合焊锡层,设置于该第二基底与该凸块结构之间。
在一实施例中,提供封装组件,包括第一基底,凸块结构设置于第一基底上,其中凸块结构包括凸块下金属层设置于第一基底上,以及铜柱设置于凸块下金属层上,且凸块结构具有侧壁表面邻接第一基底的表面区,L型保护结构覆盖凸块结构的侧壁表面,且延伸至第一基底的表面区,其中L型保护结构由非金属材料层形成,此外,还包括第二基底,以及接合焊锡层设置在第二基底与凸块结构之间。
在一实施例中,提供形成集成电路元件的方法,包括:形成一凸块下金属层和一凸块结构在一半导体基底上,其中该凸块结构具有一顶部表面及一侧壁表面,且该凸块结构包括一导电柱以及一焊锡层在该导电柱之上,该半导体基底具有一表面区未被该凸块结构覆盖,该凸块下金属层形成于该凸块结构与该半导体基底之间,且该凸块下金属层的侧壁和该凸块结构的侧壁组成一垂直于该半导体基底的表面的平面;形成一非金属保护层在该凸块结构的该顶部表面与该侧壁表面上,以及该半导体基底的该表面区上;以及从该凸块结构的该顶部表面移除该非金属保护层,使得该非金属保护层的一残余部分形成一L型保护结构,并在该焊锡层上进行回焊工艺,其中该L型保护结构的一上表面高于该焊锡层的顶部表面。
在一实施例中,提供形成集成电路元件的方法,包括在半导体基底上形成凸块结构,其中凸块结构具有顶部表面及侧壁表面,且半导体基底具有未被凸块结构覆盖的表面区,在凸块结构的顶部表面与侧壁表面上,以及半导体基底的表面区上形成非金属保护层,以及从凸块结构的顶部表面移除非金属保护层,使得非金属保护层的残余部分形成L型保护结构。
本发明可以应用在微细间距的凸块架构。
为了让本发明的上述目的、特征、及优点能更明显易懂,以下配合附图,进行详细说明。
附图说明
图1A至图1F显示依据一示范性实施例,在铜柱凸块工艺的各阶段中,半导体元件的一部分的剖面示意图。
图2A至图2E显示依据一示范性实施例,在铜柱凸块工艺的各阶段中,半导体元件的一部分的剖面示意图。
图3A至图3G显示依据一示范性实施例,在铜柱凸块工艺的各阶段中,半导体元件的一部分的剖面示意图。
图4A至图4E显示依据一示范性实施例,在铜柱凸块工艺的各阶段中,半导体元件的一部分的剖面示意图。
其中,附图标记说明如下:
10~基底; 10a~基底的表面区; 12~UBM层;
12”~图案化UBM层; 12b~UBM层的侧壁表面;
14~第一UBM层; 14”~图案化第一UBM层;
14b~第一UBM层的侧壁表面; 16~第二UBM层;
16”~图案化第二UBM层; 16b~第二UBM层的侧壁表面;
18~掩模层; 19~掩模层的开口;
20~铜柱; 20a~铜柱的顶部表面;
20b~铜柱的侧壁表面; 22~保护层;
22”~瘦长的侧壁保护结构;22a~L型侧壁间隙壁;
22a1~L型侧壁间隙壁的第一部分;
22a2~L型侧壁间隙壁的第二部分;22b~保护层的开口;
22c~侧壁保护结构的突出部;24、28、42、52~凸块结构;
26~光致抗蚀剂层;27~光致抗蚀剂层的开口;
30~覆盖层; 30b~覆盖层的侧壁表面; 40~焊锡层;
40”~回焊的焊锡层; 40a~焊锡层的顶部表面;
40b~焊锡层的侧壁表面; 100~基底;
102~接合焊锡层; 104~接合结构;
201、202、203、204~封装组件。
具体实施方式
在此所揭示的实施例提供用于铜柱凸块技术的侧壁保护工艺,其中在铜柱凸块侧壁上的L型保护结构是由至少一非金属材料层所形成,例如介电材料层、高分子材料层或前述的组合。在整篇揭示中所使用的名词“铜柱凸块”是关于凸块结构,包括由铜或铜合金形成的导电柱,铜柱凸块可以直接应用在倒装芯片组件或其他类似的应用的电性垫片上或半导体芯片的重分布层上。
在本发明实施例中使用参考资料详细说明本发明,如附图所示,在图式及说明书描述中尽可能地使用相同的标号来表示相同或相似的部分。在图式中,实施例的形状及厚度可能被扩大,以达到方便说明及清楚显示的目的。说明书的描述直接关于依据此揭示所形成的装置的部分元件,或更直接关于与此装置共同操作的元件。可以理解的是,这些元件没有特定的形式,或者可使用各种形式来描绘。再者,当一层被称为在另一层上或在基底上时,这一层可以是直接在其他层上或在基底上,或者也可存在介于中间的其他层。在整篇说明书中所提及的“一实施例”表示与此实施例有关的特定特征、结构或特性是被包含在至少一实施例中。因此,在整篇说明书中所提及的“在一实施例中”不需要都是相同的实施例。另外,在一个或更多实施例中的特定特征、结构或特性可以用任何适合的方式结合。可以理解的是,以下配合的图式并非按尺寸绘制,这些图式仅用于说明本发明。
图1A至图1F显示依据一示范性实施例,在铜柱凸块工艺的各阶段中,半导体元件的一部分的剖面示意图。
参阅图1A,其显示在半导体集成电路制造上用于凸块制造的半导体基底10的一例,并且集成电路可以在基底内以及/或基底上形成。半导体基底被定义成包括半导体材料的任何结构,其包含但不限定于:巨块硅(bulk silicon)、半导体晶片、硅覆盖绝缘层(silicon-on-insulator;SOI)基底或硅锗基底,其他包含第三族(group III)、第四族(group IV)以及第五族(group V)元素的半导体材料也可以使用。基底10可进一步包括多个隔绝特征(未绘出),例如浅沟槽隔绝(shallow trench isolation:STI)特征或硅的局部氧化(local oxidation of silicon:LOCOS)特征,隔绝特征可以被定义并隔绝各种微电子元件(未绘出)。各种微电子元件可以在基底10内形成,基底10包含晶体管晶体管例如为金属氧化物半导体场效晶体管(metal oxide semiconductor field effect transistor;MOSFET)、互补式金属氧化物半导体(complementary metal oxide semiconductor;CMOS)晶体管、双载子接面晶体管(bipolar junction transistor:BJT)、高电压晶体管、高频晶体管、p沟道以及/或n沟道场效晶体管(PFETs/NFETs)等。此外,基底10还可包含电阻器、二极管、电容器、电感器、熔线以及其他合适的元件。可实施各种工艺形成各种微电子元件,包含沉积、蚀刻、离子注入、光刻、退火以及其他合适的工艺。这些微电子元件互相连接形成集成电路元件,例如逻辑元件、存储器元件(如静态随机存取存储器(SRAM))、射频(radiofrequency;RF)元件、输入/输出(input/output;I/O)元件、系统单芯片(system-on-chip;SOC)元件、前述的组合以及其他合适类型的元件。
基底10更包含在集成电路之上的层间介电层以及金属结构,在金属结构内的层间介电层包含低介电常数介电材料、未掺杂硅玻璃(undoped silicate glass;USG)、氮化硅、氮氧化硅或其他常用的材料,低介电常数介电材料的介电常数值(k值)可低于约3.9或低于约2.8。在金属结构中的金属线可由铜或铜合金形成,在此技术领域中的普通技术人员当可了解金属层的详细形成方式。垫片区(未绘出)是形成在顶端层间介电层内的顶端金属层,其为导电路线的一部分,并且如果需要,其具有经由平坦化工艺,例如化学机械研磨工艺(chemical mechanical polishing;CMP)处理过的暴露表面。适用于垫片区的材料可包含但不限定于例如铜(Cu)、铝(Al)、铝铜(AlCu)、铜合金、或其他可动(mobile)的导电材料。垫片区用在接合工艺中,连接个别芯片中的集成电路至外部特征。
基底10更包含钝化层(passivation layer)(未绘出)形成在垫片区之上,且暴露出一部分的垫片区,用于后续的铜柱凸块工艺。钝化层由非有机材料形成,其选自于未掺杂硅玻璃(USG)、氮化硅、氮氧化硅、氧化硅以及前述的组合。另外,钝化层可由高分子层形成,例如环氧化物(epoxy)、聚酰亚胺(polyimide)、苯环丁烯(benzocyclobutene;BCB)、聚苯恶唑(polybenzoxazole;PBO)以及类似的材料。另外,也可以使用其他相对软性,通常是有机的介电材料。
参阅图1A,其显示凸块下金属层(under-bump-metallurgy;UBM)12的形成,包含在基底10上形成的第一UBM层14以及第二UBM层16。例如,在垫片区暴露出来的部分上形成UBM层12,且延伸至一部分的钝化层。第一UBM层14也称为扩散阻挡层或胶层(glue layer),由钛(titanium)、钽(tantalum)、氮化钛、氮化钽或类似的材料制成,可通过物理气相沉积(PVD)或溅镀的方式形成。第一UBM层14沉积的厚度介于约之间,例如厚度约为第二UBM层16是通过物理气相沉积(PVD)或溅镀方式形成在第一UBM层14上的铜晶种层(seed layer),第二UBM层16可由铜合金形成,其包含银、铬、镍、锡、金以及前述的组合。第二UBM层16沉积的厚度介于约之间,例如厚度约为在一实施例中,UBM层12包含由Ti形成的第一UBM层14以及由Cu形成的第二UBM层16。
接着,在UBM层12上提供掩模层18,并且将掩模层18图案化,形成开口19,暴露出一部分的UBM层12,用于铜柱凸块(Cu pillar bump)的形成,掩模层18可以是干膜或光致抗蚀剂膜。然后,在开口19内利用焊锡湿润性(solder wettability)部分地或完全地填充导电材料。在一实施例中,于开口19内形成铜层20接触底下的UBM层12,在此揭示整篇中所使用的“铜层”是一层大抵上包含纯元素铜、含有不可避免的杂质的铜以及含有少量元素的铜合金,例如含有钽、铟、锡、锌、锰、铬、钛、锗、锶、铂、镁、铝或锆的铜合金。铜层的形成方法可包含溅镀、印刷、电镀、无电电镀以及常用的化学气相沉积(CVD)法,例如利用电化学电镀(electro-chemical plating;ECP)形成铜层20。在一示范性实施例中,铜层20的厚度大于25μm。在另一示范性实施例中,铜层20的厚度大于40μm,例如铜层20的厚度可介于约40-50μm之间,约为45μm,或者介于约40-70μm之间,虽然其厚度也可以更大或更小。
参阅图1B,将掩模层18移除,暴露出铜层20的顶部表面20a与侧壁表面20b,以及在铜层20外的UBM层12的一部分,之后铜层20称为铜柱20。在此例中,掩模层18是干膜,可以使用碱性溶液移除。如果掩模层20是由光致抗蚀剂形成,则可以使用丙酮(acetone)、N-甲基吡咯烷酮(n-methyl pyrrolidone;NMP)、二甲基亚砜(dimethyl sulfoxide;DMSO)、二甘醇胺(aminoethoxy ethanol)以及类似的溶剂移除。
然后,如图1C所示,使用铜柱20作为掩模,UBM层12露出来的部分被蚀刻,暴露出下方基底10的表面区10a。在一示范性实施例中,蚀刻UBM层12的步骤为干蚀刻或湿蚀刻,例如使用氨酸(ammonia-based acid)进行的等向性湿蚀刻(通常称为快速蚀刻(flashetching),因为其时间短暂),或者干蚀刻工艺,例如标准的反应式离子蚀刻法(RIE)程序。因此,铜柱20底下的图案化UBM层12”具有暴露出来的侧壁表面12b,详细地,图案化的第二UBM层16”具有侧壁表面16b,且图案化的第一UBM层14”具有侧壁表面14b。
参阅图1D,在产生的结构上形成保护层22,例如通过全面性沉积(blanketdeposition)方式形成。详细地,沉积保护层22覆盖铜柱20的顶部表面20a与侧壁表面20b,以及图案化UBM层12”的侧壁表面12b。保护层22是非金属材料层,例如介电材料层、高分子材料层或前述的组合。保护层22可以是单一材料层或多层结构,保护层22的厚度介于约之间。在一实施例中,保护层22是介电材料层,由氮化硅、氧化硅、氮氧化硅、碳化硅、氧化硅与氮化硅的交错层或前述的组合形成,通过各种沉积技术,包含热氧化法、低压化学气相沉积法(low-pressure chemical vapor deposition;LPCVD)、常压化学气相沉积法(atmospheric-pressure chemical vapor deposition;APCVD)、等离子体增强型化学气相沉积法(plasma-enhanced chemical vapor deposition;PECVD)以及更进步的沉积程序形成。在另一实施例中,保护层22是高分子材料层,由高分子形成,例如环氧化物(epoxy)、聚酰亚胺(polyimide)、苯环丁烯(BCB)、聚苯恶唑(PBO)以及类似的材料,此外也可以使用其他相对软性,通常是有机的介电材料。高分子材料层是软性的,因此具有降低在个别基底上的固有应力的功能。此外,高分子层很容易以数十微米的厚度形成。
接着,参阅图1E,经由化学机械研磨(CMP)工艺,从铜柱20的顶部表面20a移除保护层22的一区域,因而留下所产生的L型侧壁间隙壁22a。L型侧壁间隙壁22a榇垫在侧壁表面20b与12b,且延伸至基底10的邻接表面区10a,L型侧壁间隙壁22a包含沿着侧壁表面20b与12b的第一部分22a1,以及沿着表面区10a的第二部分22a2。L型侧壁间隙壁22a的较上方表面大抵上与铜柱20的顶部表面20a共平面,L型侧壁间隙壁22a之后也称为侧壁保护结构22a。在光刻与掩模技术以及干蚀刻工艺上的进步,例如反应式离子蚀刻法(reactive ionetch;RIE)及其他等离子体蚀刻工艺,可让侧壁保护结构产生。完成的凸块结构24包含铜柱20以及图案化的UBM层12”,L型侧壁间隙壁22a覆盖侧壁表面20b与12b以及邻接的表面区10a。
然后,基底10被切割并封装在封装基底或另一晶粒上,并利用锡球或铜凸块固定在封装基底或其他晶粒的垫片上。图1F显示倒装芯片组件(flip-chip assembly)的一示范性实施例的剖面示意图,在图1E中显示的结构被颠倒翻转并贴附至在底部的另一基底100上。基底100可以是封装基底、电路板(例如印刷电路板(PCB))或其他合适的基底。凸块结构24接触基底100的各种导电附着点,例如在接触垫以及/或导线上的接合焊锡层102,形成接合结构104耦接两个基底10与100。接合焊锡层102可以是共晶焊料(eutectic solder)材料,包含锡、铅、银、铜、镍、铋或前述的组合的合金。示范性的耦接工艺包含助焊剂应用(flux application)、芯片放置(chip placement)、熔融焊锡接点回焊(reflow ofmelting solder joint)以及助焊剂残余物清洁。集成电路基底10、接合结构104以及其他基底100可称为封装组件201,或者在此实施例中,称为倒装芯片封装组件。
此揭示在铜柱侧壁上提供由非金属材料形成的L型侧壁保护结构,避免铜柱侧壁被氧化,以及增加在铜柱侧壁与后续形成的底部填胶材料之间的粘着力。与传统上使用化学浸锡方法并接着进行退火的工艺相比,非金属侧壁保护结构可调整基底应力,避免在回焊工艺期间,焊锡湿润至铜柱而围绕UBM层的周边,并且消除蓝色胶带残留(blue taperesidue),因此其可以应用在微细间距的凸块架构。
图2A至图2E显示依据另一示范性实施例,在铜柱凸块工艺的各阶段中,半导体元件的一部分的剖面示意图,其中与图1A至图1F的描述相同或相似部分的说明将会省略。
参阅图2A,于沉积保护层22在铜柱20、图案化的UBM层12”以及基底10的邻接表面区10a上之后,在保护层22上涂布光致抗蚀剂层26。然后,如图2B所示,通过激光曝光、烘烤、显影以及/或其他熟知的光刻工艺将光致抗蚀剂层26图案化,提供开口27暴露出保护层22的一部分,其位置在铜柱20的顶部表面20a之上。然后,使用图案化的光致抗蚀剂层作为遮蔽元件,通过湿蚀刻或干蚀刻工艺将保护层22暴露出来的部分蚀刻,在保护层22内提供开口22b,因而暴露出铜柱20的顶部表面20a,如图2C所示。
参阅图2D,移除光致抗蚀剂层26,留下所产生的瘦长侧壁保护结构22”,其包含L型侧壁间隙壁22a以及由L型侧壁间隙壁22a的较上方表面延伸的突出部22c,即瘦长的侧壁保护结构22”具有上表面高于铜柱20的顶部表面20a。完成的凸块结构28包含铜柱20以及图案化的UBM层12”,瘦长的侧壁保护结构22”覆盖侧壁表面20b与12b以及邻接表面区10a。
参阅图2E,基底10被颠倒翻转并贴附至在底部的另一基底100上。凸块结构28接触基底100的各种导电附着点,例如在接触垫以及/或导线上的接合焊锡层102,形成接合结构104耦接两个基底10与100。集成电路基底10、接合结构104以及其他基底100可称为封装组件202,或者在此实施例中,称为倒装芯片封装组件。
图3A至图3G显示依据另一示范性实施例,在铜柱凸块工艺的各阶段中,半导体元件的一部分的剖面示意图,其中与图1A至图1F的描述相同或相似部分的说明将会省略。
参阅图3A,在掩模层18的开口19内形成铜层20之后,在铜柱20的顶部表面20a上形成覆盖层30。覆盖层30可作为阻挡层,避免铜柱内的铜扩散至接合材料,例如焊锡合金中,接合材料是用于接合基底10至外部特征。避免铜的扩散可增加封装体的可靠度与接合强度。覆盖层30可包含镍(Ni)、锡(Sn)、锡铅(SnPb)、金(Au)、银(Ag)、钯(Pd)、铟(In)、镍-钯-金(NiPdAu)、镍金(NiAu)、其他相似的材料,或通过电镀法沉积的合金。覆盖层30的厚度约为1至10μm,在一些实施例中,覆盖层30为多层结构,包含Ni、Au、Pd、Ni基合金、Au基合金或Pd基合金。
然后,在覆盖层30上形成焊锡层40,焊锡层40可由Sn、SnAg、Sn-Pb、SnAgCu(具有Cu重量百分比小于0.3%)、SnAgZn、SnZn、SnBi-In、Sn-In、Sn-Au、SnPb、SnCu、SnZnIn或SnAgSb等制成,通过电镀工艺形成。在一实施例中,焊锡层40是无铅焊锡层,对于无铅焊锡系统而言,焊锡层是具有Ag含量控制在低于3.0重量百分比的SnAg,例如,无铅焊锡层是具有Ag含量控制在约2.5重量百分比的SnAg。
接着,如图3B所示,将掩模层18剥除,暴露出焊锡层的顶部表面40a与侧壁表面40b,以及覆盖层30的侧壁表面30b。然后,如图3C所示,UBM层12暴露出来的部分被蚀刻,暴露出其底下在铜柱20外的表面区10a。之后,如图3D所示,形成保护层22覆盖所产生的结构。于化学机械研磨(CMP)工艺之后,产生如图3E所示的L型侧壁间隙壁22a,因此暴露出焊锡层40的顶部表面40a,L型侧壁间隙壁22a的较上方表面大抵上与焊锡层40的顶部表面40a共平面。
参阅图3F,在焊锡层40上进行回焊工艺,形成回焊的焊锡层40”在覆盖层30上。完成的凸块结构42包含铜柱20、在铜柱20上的覆盖层30、在覆盖层30上的回焊的焊锡层40”,以及在铜柱20底下的图案化UBM层12”,L型侧壁间隙壁22a覆盖侧壁表面40b、30b、20b与12b,以及表面区10a。
然后,基底10被切割并封装至封装基底或另一晶粒上,并利用锡球或铜凸块固定在封装基底或其他晶粒的垫片上。参阅图3G,基底10被颠倒翻转并贴附至在底部的另一基底100上。凸块结构42接触基底100的各种导电附着点,例如在接触垫以及/或导线上的接合焊锡层102,形成接合结构104耦接两个基底10与100。集成电路基底10、接合结构104以及其他基底100可称为封装组件203,或者在此实施例中,称为倒装芯片封装组件。
图4A至图4E显示依据另一示范性实施例,在铜柱凸块工艺的各阶段中,半导体元件的一部分的剖面示意图,其中与图3A至图3G的描述相同或相似部分的说明将会省略。
参阅图4A,在产生的结构上沉积保护层22之后,接着在保护层22上涂布光致抗蚀剂层26。然后,如图4B所示,通过激光曝光、烘烤、显影以及/或其他熟知的光刻工艺将光致抗蚀剂层26图案化,提供开口27暴露出保护层22的一部分,其位置在焊锡层40的顶部表面40a之上。然后,使用图案化的光致抗蚀剂层作为遮蔽元件,通过湿蚀刻或干蚀刻工艺将保护层22暴露出来的部分蚀刻,在保护层22内提供开口22b,因而暴露出焊锡层40的顶部表面40a,如图4C所示。然后,移除光致抗蚀剂层26,产生瘦长的侧壁保护结构22”,其包含L型侧壁间隙壁22a以及从L型侧壁间隙壁22a的较上方表面延伸的突出部22c,即瘦长的侧壁保护结构22”具有上表面高于焊锡层40的顶部表面40a。
参阅图4D,在焊锡层40上进行回焊工艺,形成回焊的焊锡层40”在覆盖层30上。完成的凸块结构52包含铜柱20、在铜柱20上的覆盖层30、在覆盖层30上的回焊的焊锡层40”,以及在铜柱20底下的图案化UBM层12”。瘦长的侧壁保护结构22”覆盖侧壁表面40b、30b、20b与12b,以及表面区10a。瘦长的侧壁保护结构22”的较上方表面不仅高于铜柱20的顶部表面20a,也高于覆盖层30的顶部表面30a,瘦长的侧壁保护结构22”的较上方表面可能等高或高于焊锡层40的顶部表面40a,由焊锡层40的体积与回焊工艺的控制决定。
参阅图4E,基底10被颠倒翻转并贴附至在底部的另一基底100上。凸块结构52接触基底100的各种导电附着点,例如在接触垫以及/或导线上的接合焊锡层102,形成接合结构104耦接两个基底10与100。集成电路基底10、接合结构104以及其他基底100可称为封装组件204,或者在此实施例中,称为倒装芯片封装组件。
虽然本发明已公开优选实施例如上,然其并非用以限定本发明,在此技术领域中的普通技术人员当可了解,在不脱离本发明的精神和范围内,当可做些许更动与润饰。因此,本发明的保护范围当视随附的权利要求所界定的保护范围为准。

Claims (10)

1.一种集成电路元件,包括:
一凸块下金属层和一凸块结构,设置于一半导体基底上,其中该凸块结构包括一顶部表面及一侧壁表面,且该凸块结构包括一导电柱以及一焊锡层在该导电柱之上,该半导体基底包括一表面区邻接该凸块结构的该侧壁表面,该凸块下金属层设置于该凸块结构与该半导体基底之间,且该凸块下金属层的侧壁和该凸块结构的侧壁组成一垂直于该半导体基底的表面的平面;以及
一L型保护结构,覆盖该凸块结构的该侧壁表面,且延伸至该半导体基底的该表面区,
其中该L型保护结构由一非金属材料层形成,且该L型保护结构的一上表面高于回焊后的该焊锡层的顶部表面。
2.如权利要求1所述的集成电路元件,其中该L型保护结构包括一介电层、一高分子层或前述的组合的其中至少一个。
3.如权利要求2所述的集成电路元件,其中该L型保护结构包括氮化硅层、聚酰亚胺层或前述的组合的其中至少一个。
4.如权利要求1所述的集成电路元件,其中该导电柱包含铜。
5.如权利要求4所述的集成电路元件,其中该凸块结构包括一覆盖层在该导电柱上,并且该焊锡层在该覆盖层上,其中该覆盖层包括Ni层。
6.一种封装组件,包括:
一第一基底;
一凸块下金属层和一凸块结构,设置于该第一基底上,其中该凸块结构包括一凸块下金属层设置于该第一基底上,铜柱设置于该凸块下金属层上,以及一焊锡层设置于该铜柱之上,且该凸块结构具有一顶部表面以及一侧壁表面邻接该第一基底的一表面区,该凸块下金属层设置于该凸块结构与该第一基底之间,且该凸块下金属层的侧壁和该凸块结构的侧壁组成一垂直于该第一基底的表面的平面;
一L型保护结构,覆盖该凸块结构的该侧壁表面,且延伸至该第一基底的该表面区,其中该L型保护结构由一非金属材料层形成,且该L型保护结构的一上表面高于回焊后的该焊锡层的顶部表面;
一第二基底;以及
一接合焊锡层,设置于该第二基底与该凸块结构之间。
7.如权利要求6所述的封装组件,其中该L型保护结构包括氮化硅层、聚酰亚胺层或前述的组合的其中至少一个。
8.一种形成集成电路元件的方法,包括:
形成一凸块下金属层和一凸块结构在一半导体基底上,其中该凸块结构具有一顶部表面及一侧壁表面,且该凸块结构包括一导电柱以及一焊锡层在该导电柱之上,该半导体基底具有一表面区未被该凸块结构覆盖,该凸块下金属层形成于该凸块结构与该半导体基底之间,且该凸块下金属层的侧壁和该凸块结构的侧壁组成一垂直于该半导体基底的表面的平面;
形成一非金属保护层在该凸块结构的该顶部表面与该侧壁表面上,以及该半导体基底的该表面区上;以及
从该凸块结构的该顶部表面移除该非金属保护层,使得该非金属保护层的一残余部分形成一L型保护结构,并在该焊锡层上进行回焊工艺,其中该L型保护结构的一上表面高于该焊锡层的顶部表面。
9.如权利要求8所述的形成集成电路元件的方法,其中移除该非金属保护层的步骤包括:
形成一光致抗蚀剂层在该非金属保护层上;
形成一第一开口在该光致抗蚀剂层内;
形成一第二开口在非金属保护层内,其位置对应至该第一开口,暴露出该凸块结构的该顶部表面;以及
移除该光致抗蚀剂层;
其中在移除该非金属保护层的步骤之后,该非金属保护层的一上表面高于该凸块结构的该顶部表面。
10.如权利要求8所述的形成集成电路元件的方法,其中该L型保护结构包括氮化硅层、聚酰亚胺层或前述的组合。
CN201610674177.9A 2010-05-18 2010-10-29 集成电路元件、其形成方法及封装组件 Pending CN106206520A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/781,987 2010-05-18
US12/781,987 US9524945B2 (en) 2010-05-18 2010-05-18 Cu pillar bump with L-shaped non-metal sidewall protection structure
CN2010105294681A CN102254870A (zh) 2010-05-18 2010-10-29 集成电路元件、其形成方法及封装组件

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2010105294681A Division CN102254870A (zh) 2010-05-18 2010-10-29 集成电路元件、其形成方法及封装组件

Publications (1)

Publication Number Publication Date
CN106206520A true CN106206520A (zh) 2016-12-07

Family

ID=44971834

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201610674177.9A Pending CN106206520A (zh) 2010-05-18 2010-10-29 集成电路元件、其形成方法及封装组件
CN2010105294681A Pending CN102254870A (zh) 2010-05-18 2010-10-29 集成电路元件、其形成方法及封装组件

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2010105294681A Pending CN102254870A (zh) 2010-05-18 2010-10-29 集成电路元件、其形成方法及封装组件

Country Status (3)

Country Link
US (2) US9524945B2 (zh)
CN (2) CN106206520A (zh)
TW (1) TW201142997A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109065509A (zh) * 2018-08-10 2018-12-21 付伟 带有单围堰及外移通孔的芯片封装结构及其制作方法
CN111656495A (zh) * 2018-02-13 2020-09-11 雷神公司 用于晶圆键合组件的晶圆级低熔解温度互连的制造方法

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8492891B2 (en) 2010-04-22 2013-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with electrolytic metal sidewall protection
US9142533B2 (en) * 2010-05-20 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate interconnections having different sizes
US8692390B2 (en) * 2011-02-18 2014-04-08 Chipbond Technology Corporation Pyramid bump structure
US9646923B2 (en) 2012-04-17 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, methods of manufacture thereof, and packaged semiconductor devices
US9425136B2 (en) 2012-04-17 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Conical-shaped or tier-shaped pillar connections
US9299674B2 (en) 2012-04-18 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Bump-on-trace interconnect
US8970034B2 (en) * 2012-05-09 2015-03-03 Micron Technology, Inc. Semiconductor assemblies and structures
US8828860B2 (en) 2012-08-30 2014-09-09 International Business Machines Corporation Double solder bumps on substrates for low temperature flip chip bonding
US9577314B2 (en) 2012-09-12 2017-02-21 International Business Machines Corporation Hybrid on-chip and package antenna
US9111817B2 (en) 2012-09-18 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structure and method of forming same
US20140124877A1 (en) * 2012-11-02 2014-05-08 Qualcomm Incorporated Conductive interconnect including an inorganic collar
US9293338B2 (en) 2012-11-08 2016-03-22 Nantong Fujitsu Microelectronics Co., Ltd. Semiconductor packaging structure and method
CN102931111B (zh) * 2012-11-08 2015-06-10 南通富士通微电子股份有限公司 半导体封装结构的形成方法
US8802556B2 (en) * 2012-11-14 2014-08-12 Qualcomm Incorporated Barrier layer on bump and non-wettable coating on trace
JP2014203963A (ja) * 2013-04-04 2014-10-27 三菱マテリアル株式会社 ピラー付バンプを有する基板の製造方法及びピラー付バンプ用表面処理溶液
US9613921B2 (en) * 2013-10-18 2017-04-04 Globalfoundries Inc. Structure to prevent solder extrusion
US20170309584A1 (en) * 2014-10-23 2017-10-26 Agency For Science, Technology And Research Method of bonding a first substrate and a second substrate
TWI690083B (zh) * 2015-04-15 2020-04-01 杰力科技股份有限公司 功率金氧半導體場效電晶體及其製作方法
DE102015120647B4 (de) * 2015-11-27 2017-12-28 Snaptrack, Inc. Elektrisches Bauelement mit dünner Lot-Stopp-Schicht und Verfahren zur Herstellung
US10403591B2 (en) * 2017-10-31 2019-09-03 Xilinx, Inc. Chip package assembly with enhanced interconnects and method for fabricating the same
CN108231729B (zh) * 2017-12-29 2020-07-14 通富微电子股份有限公司 一种封装基板、芯片封装体及芯片堆叠封装方法
CN108899272A (zh) * 2018-07-06 2018-11-27 德淮半导体有限公司 用于制造半导体装置的方法
CN109729639B (zh) * 2018-12-24 2020-11-20 奥特斯科技(重庆)有限公司 在无芯基板上包括柱体的部件承载件
US11024592B2 (en) * 2019-10-18 2021-06-01 Nanya Technology Corporation Semiconductor device with spacer over sidewall of bonding pad and method for preparing the same
KR20210126188A (ko) * 2020-04-09 2021-10-20 삼성전자주식회사 반도체 소자
KR20220030676A (ko) 2020-09-03 2022-03-11 삼성전자주식회사 반도체 패키지
US11908757B2 (en) * 2021-06-18 2024-02-20 Taiwan Semiconductor Manufacturing Company Limited Die corner removal for molding compound crack suppression in semiconductor die packaging and methods for forming the same
CN116093060A (zh) * 2021-11-08 2023-05-09 群创光电股份有限公司 电子装置及其制备方法
US20240096845A1 (en) * 2022-09-21 2024-03-21 Qualcomm Incorporated Circuit packages with bump interconnect polymer surround and method of manufacture

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040094842A1 (en) * 1999-05-10 2004-05-20 Jimarez Miguel A. Flip chip C4 extension structure and process
US20070231957A1 (en) * 2006-03-30 2007-10-04 Oki Electric Industry Co., Ltd. Method of manufacturing semiconductor device
CN200965875Y (zh) * 2006-10-16 2007-10-24 先进封装技术私人有限公司 半导体元件
CN101286464A (zh) * 2008-05-29 2008-10-15 日月光半导体制造股份有限公司 在凸块下金属层上形成金属凸块的方法
CN101436559A (zh) * 2007-11-16 2009-05-20 台湾积体电路制造股份有限公司 半导体元件的制造方法

Family Cites Families (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL6701136A (zh) 1967-01-25 1968-07-26
FI61588C (fi) 1980-08-01 1982-08-10 Lohja Ab Oy Foerfarande foer utfoerande av elektriskt ledande genomfoeringar i tunnfilmer
US4720740A (en) 1985-11-26 1988-01-19 Clements James R Electronic device including uniaxial conductive adhesive and method of making same
US5134460A (en) 1986-08-11 1992-07-28 International Business Machines Corporation Aluminum bump, reworkable bump, and titanium nitride structure for tab bonding
US4811082A (en) 1986-11-12 1989-03-07 International Business Machines Corporation High performance integrated circuit packaging structure
US5075253A (en) 1989-04-12 1991-12-24 Advanced Micro Devices, Inc. Method of coplanar integration of semiconductor IC devices
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
JPH05211239A (ja) 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
JPH05267303A (ja) 1992-03-17 1993-10-15 Nec Corp 半導体装置
JP3078646B2 (ja) 1992-05-29 2000-08-21 株式会社東芝 インジウムバンプの製造方法
JPH0637143A (ja) 1992-07-15 1994-02-10 Toshiba Corp 半導体装置および半導体装置の製造方法
JP3057130B2 (ja) 1993-02-18 2000-06-26 三菱電機株式会社 樹脂封止型半導体パッケージおよびその製造方法
DE4314907C1 (de) 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5391917A (en) 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US5380681A (en) 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US5466635A (en) 1994-06-02 1995-11-14 Lsi Logic Corporation Process for making an interconnect bump for flip-chip integrated circuit including integral standoff and hourglass shaped solder coating
JP3296400B2 (ja) 1995-02-01 2002-06-24 東芝マイクロエレクトロニクス株式会社 半導体装置、その製造方法およびCu製リード
US6464895B2 (en) 1995-03-24 2002-10-15 Rhodia Chimie Reagent and process which are useful for grafting a substituted difluoromethyl group onto a compound containing at least one electrophilic function
JPH0997791A (ja) 1995-09-27 1997-04-08 Internatl Business Mach Corp <Ibm> バンプ構造、バンプの形成方法、実装接続体
US6232563B1 (en) 1995-11-25 2001-05-15 Lg Electronics Inc. Bump electrode and method for fabricating the same
US6002177A (en) 1995-12-27 1999-12-14 International Business Machines Corporation High density integrated circuit packaging with chip stacking and via interconnections
US6882030B2 (en) 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
KR100377033B1 (ko) 1996-10-29 2003-03-26 트러시 테크날러지스 엘엘시 Ic 및 그 제조방법
US6037822A (en) 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
JP3654485B2 (ja) 1997-12-26 2005-06-02 富士通株式会社 半導体装置の製造方法
US6642136B1 (en) 2001-09-17 2003-11-04 Megic Corporation Method of making a low fabrication cost, high performance, high reliability chip scale package
US6213376B1 (en) 1998-06-17 2001-04-10 International Business Machines Corp. Stacked chip process carrier
US6281042B1 (en) 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
JP2000094181A (ja) 1998-09-24 2000-04-04 Sony Corp はんだ合金組成物
US6271059B1 (en) 1999-01-04 2001-08-07 International Business Machines Corporation Chip interconnection structure using stub terminals
US6229216B1 (en) 1999-01-11 2001-05-08 Intel Corporation Silicon interposer and multi-chip-module (MCM) with through substrate vias
JP4131595B2 (ja) 1999-02-05 2008-08-13 三洋電機株式会社 半導体装置の製造方法
JP3667184B2 (ja) 1999-02-26 2005-07-06 住友ベークライト株式会社 半導体装置
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6544880B1 (en) 1999-06-14 2003-04-08 Micron Technology, Inc. Method of improving copper interconnects of semiconductor devices for bonding
US6243272B1 (en) 1999-06-18 2001-06-05 Intel Corporation Method and apparatus for interconnecting multiple devices on a circuit board
KR100313706B1 (ko) 1999-09-29 2001-11-26 윤종용 재배치 웨이퍼 레벨 칩 사이즈 패키지 및 그 제조방법
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6387793B1 (en) 2000-03-09 2002-05-14 Hrl Laboratories, Llc Method for manufacturing precision electroplated solder bumps
US6578754B1 (en) 2000-04-27 2003-06-17 Advanpack Solutions Pte. Ltd. Pillar connections for semiconductor chips and method of manufacture
US6592019B2 (en) 2000-04-27 2003-07-15 Advanpack Solutions Pte. Ltd Pillar connections for semiconductor chips and method of manufacture
US6444576B1 (en) 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
JP2002050647A (ja) 2000-08-01 2002-02-15 Sharp Corp 半導体装置及びその製造方法
TW464927B (en) 2000-08-29 2001-11-21 Unipac Optoelectronics Corp Metal bump with an insulating sidewall and method of fabricating thereof
JP3700563B2 (ja) 2000-09-04 2005-09-28 セイコーエプソン株式会社 バンプの形成方法及び半導体装置の製造方法
US6355501B1 (en) 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
US6426556B1 (en) 2001-01-16 2002-07-30 Megic Corporation Reliable metal bumps on top of I/O pads with test probe marks
KR100364635B1 (ko) 2001-02-09 2002-12-16 삼성전자 주식회사 칩-레벨에 형성된 칩 선택용 패드를 포함하는 칩-레벨3차원 멀티-칩 패키지 및 그 제조 방법
US6818545B2 (en) 2001-03-05 2004-11-16 Megic Corporation Low fabrication cost, fine pitch and high reliability solder bump
KR100394808B1 (ko) 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
US6489229B1 (en) * 2001-09-07 2002-12-03 Motorola, Inc. Method of forming a semiconductor device having conductive bumps without using gold
US6869831B2 (en) 2001-09-14 2005-03-22 Texas Instruments Incorporated Adhesion by plasma conditioning of semiconductor chip surfaces
US6853076B2 (en) 2001-09-21 2005-02-08 Intel Corporation Copper-containing C4 ball-limiting metallurgy stack for enhanced reliability of packaged structures and method of making same
KR100435813B1 (ko) 2001-12-06 2004-06-12 삼성전자주식회사 금속 바를 이용하는 멀티 칩 패키지와 그 제조 방법
US6599778B2 (en) 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
TWI245402B (en) 2002-01-07 2005-12-11 Megic Corp Rod soldering structure and manufacturing process thereof
DE10200399B4 (de) 2002-01-08 2008-03-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Erzeugung einer dreidimensional integrierten Halbleitervorrichtung und dreidimensional integrierte Halbleitervorrichtung
EP1472730A4 (en) 2002-01-16 2010-04-14 Mann Alfred E Found Scient Res HOUSING FOR ELECTRONIC CIRCUITS WITH REDUCED SIZE
US6975016B2 (en) 2002-02-06 2005-12-13 Intel Corporation Wafer bonding using a flexible bladder press and thinned wafers for three-dimensional (3D) wafer-to-wafer vertical stack integration, and application thereof
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6661085B2 (en) 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6805974B2 (en) 2002-02-15 2004-10-19 International Business Machines Corporation Lead-free tin-silver-copper alloy solder composition
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
DE10392377T5 (de) 2002-03-12 2005-05-12 FAIRCHILD SEMICONDUCTOR CORP. (n.d.Ges.d. Staates Delaware) Auf Waferniveau beschichtete stiftartige Kontakthöcker aus Kupfer
JP4034107B2 (ja) 2002-04-17 2008-01-16 株式会社ルネサステクノロジ 半導体装置
CN1679154A (zh) 2002-05-16 2005-10-05 新加坡国立大学 晶片级无电镀铜法和凸块制备方法,以及用于半导体晶片和微芯片的渡液
US6596619B1 (en) 2002-05-17 2003-07-22 Taiwan Semiconductor Manufacturing Company Method for fabricating an under bump metallization structure
US6590295B1 (en) 2002-06-11 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectronic device with a spacer redistribution layer via and method of making the same
US6600222B1 (en) 2002-07-17 2003-07-29 Intel Corporation Stacked microelectronic packages
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US7030481B2 (en) 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US6790748B2 (en) 2002-12-19 2004-09-14 Intel Corporation Thinning techniques for wafer-to-wafer vertical stacks
US6908565B2 (en) 2002-12-24 2005-06-21 Intel Corporation Etch thinning techniques for wafer-to-wafer vertical stacks
US7012333B2 (en) 2002-12-26 2006-03-14 Ebara Corporation Lead free bump and method of forming the same
US7008867B2 (en) 2003-02-21 2006-03-07 Aptos Corporation Method for forming copper bump antioxidation surface
US7271497B2 (en) 2003-03-10 2007-09-18 Fairchild Semiconductor Corporation Dual metal stud bumping for flip chip applications
JP4069778B2 (ja) 2003-03-25 2008-04-02 セイコーエプソン株式会社 端子電極の製造方法および半導体装置の製造方法
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US6946384B2 (en) 2003-06-06 2005-09-20 Intel Corporation Stacked device underfill and a method of fabrication
US7320928B2 (en) 2003-06-20 2008-01-22 Intel Corporation Method of forming a stacked device filler
US7078796B2 (en) 2003-07-01 2006-07-18 Freescale Semiconductor, Inc. Corrosion-resistant copper bond pad and integrated device
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
WO2005008767A2 (en) 2003-07-16 2005-01-27 Koninklijke Philips Electronics N.V. Metal bump with an insulation for the side walls and method of fabricating a chip with such a metal bump
KR100537892B1 (ko) 2003-08-26 2005-12-21 삼성전자주식회사 칩 스택 패키지와 그 제조 방법
US7345350B2 (en) 2003-09-23 2008-03-18 Micron Technology, Inc. Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
TWI251313B (en) 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7462942B2 (en) 2003-10-09 2008-12-09 Advanpack Solutions Pte Ltd Die pillar structures and a method of their formation
JP3794403B2 (ja) 2003-10-09 2006-07-05 セイコーエプソン株式会社 半導体装置
US7335972B2 (en) 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
KR100621992B1 (ko) 2003-11-19 2006-09-13 삼성전자주식회사 이종 소자들의 웨이퍼 레벨 적층 구조와 방법 및 이를이용한 시스템-인-패키지
JP2005175128A (ja) 2003-12-10 2005-06-30 Fujitsu Ltd 半導体装置及びその製造方法
US7060601B2 (en) 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7049170B2 (en) 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
JP4467318B2 (ja) 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法
JP3981089B2 (ja) 2004-02-18 2007-09-26 株式会社東芝 半導体装置とその製造方法
US7309904B2 (en) 2004-03-24 2007-12-18 Yamaha Corporation Semiconductor device, magnetic sensor, and magnetic sensor unit
TWI305403B (en) * 2004-06-15 2009-01-11 Advanced Semiconductor Eng Lead-free conductive jointing bump
KR100570514B1 (ko) 2004-06-18 2006-04-13 삼성전자주식회사 웨이퍼 레벨 칩 스택 패키지 제조 방법
KR100618837B1 (ko) 2004-06-22 2006-09-01 삼성전자주식회사 웨이퍼 레벨 패키지를 위한 얇은 웨이퍼들의 스택을형성하는 방법
US7307005B2 (en) 2004-06-30 2007-12-11 Intel Corporation Wafer bonding with highly compliant plate having filler material enclosed hollow core
TWI240977B (en) 2004-07-23 2005-10-01 Advanced Semiconductor Eng Structure and formation method for conductive bump
US7087538B2 (en) 2004-08-16 2006-08-08 Intel Corporation Method to fill the gap between coupled wafers
US20060043603A1 (en) 2004-08-31 2006-03-02 Lsi Logic Corporation Low temperature PB-free processing for semiconductor devices
US7262495B2 (en) 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
TWI242867B (en) 2004-11-03 2005-11-01 Advanced Semiconductor Eng The fabrication method of the wafer and the structure thereof
US7323406B2 (en) 2005-01-27 2008-01-29 Chartered Semiconductor Manufacturing Ltd. Elevated bond-pad structure for high-density flip-clip packaging and a method of fabricating the structures
US7348210B2 (en) 2005-04-27 2008-03-25 International Business Machines Corporation Post bump passivation for soft error protection
US7391112B2 (en) 2005-06-01 2008-06-24 Intel Corporation Capping copper bumps
US7317256B2 (en) 2005-06-01 2008-01-08 Intel Corporation Electronic packaging including die with through silicon via
US7557597B2 (en) 2005-06-03 2009-07-07 International Business Machines Corporation Stacked chip security
US7297574B2 (en) 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US7402515B2 (en) 2005-06-28 2008-07-22 Intel Corporation Method of forming through-silicon vias with stress buffer collars and resulting devices
US20070023904A1 (en) 2005-08-01 2007-02-01 Salmon Peter C Electro-optic interconnection apparatus and method
US7224067B2 (en) 2005-09-15 2007-05-29 Intel Corporation Intermetallic solder with low melting point
US7566650B2 (en) 2005-09-23 2009-07-28 Stats Chippac Ltd. Integrated circuit solder bumping system
US7432592B2 (en) 2005-10-13 2008-10-07 Intel Corporation Integrated micro-channels for 3D through silicon architectures
US7528494B2 (en) 2005-11-03 2009-05-05 International Business Machines Corporation Accessible chip stack and process of manufacturing thereof
US20070102815A1 (en) * 2005-11-08 2007-05-10 Kaufmann Matthew V Bumping process with self-aligned A1-cap and the elimination of 2nd passivation layer
JP4755486B2 (ja) 2005-11-17 2011-08-24 Okiセミコンダクタ株式会社 半導体装置およびその製造方法
KR101424824B1 (ko) 2005-11-18 2014-08-01 레플리서러스 그룹 에스에이에스 다중 층 구조 형성 방법
US7410884B2 (en) 2005-11-21 2008-08-12 Intel Corporation 3D integrated circuits using thick metal for backside connections and offset bumps
US7402442B2 (en) 2005-12-21 2008-07-22 International Business Machines Corporation Physically highly secure multi-chip assembly
US7279795B2 (en) 2005-12-29 2007-10-09 Intel Corporation Stacked die semiconductor package
US7524755B2 (en) 2006-02-22 2009-04-28 Chartered Semiconductor Manufacturing, Ltd. Entire encapsulation of Cu interconnects using self-aligned CuSiN film
US20070287279A1 (en) 2006-06-08 2007-12-13 Daubenspeck Timothy H Methods of forming solder connections and structure thereof
KR100762354B1 (ko) 2006-09-11 2007-10-12 주식회사 네패스 플립칩 반도체 패키지 및 그 제조방법
US20090197114A1 (en) 2007-01-30 2009-08-06 Da-Yuan Shih Modification of pb-free solder alloy compositions to improve interlayer dielectric delamination in silicon devices and electromigration resistance in solder joints
US7485564B2 (en) 2007-02-12 2009-02-03 International Business Machines Corporation Undercut-free BLM process for Pb-free and Pb-reduced C4
JP5222583B2 (ja) * 2007-04-06 2013-06-26 パナソニック株式会社 半導体装置
US7576435B2 (en) 2007-04-27 2009-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Low-cost and ultra-fine integrated circuit packaging technique
US7820543B2 (en) 2007-05-29 2010-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced copper posts for wafer level chip scale packaging
US7838424B2 (en) 2007-07-03 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced reliability of wafer-level chip-scale packaging (WLCSP) die separation using dry etching
US8669658B2 (en) 2007-07-24 2014-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Crosstalk-free WLCSP structure for high frequency application
US7759792B2 (en) 2007-08-15 2010-07-20 Infineon Technologies Ag Integrated circuit including parylene material layer
KR101213175B1 (ko) 2007-08-20 2012-12-18 삼성전자주식회사 로직 칩에 층층이 쌓인 메모리장치들을 구비하는반도체패키지
JP2009094378A (ja) 2007-10-11 2009-04-30 Panasonic Corp 半導体装置及びその製造方法
US7713861B2 (en) 2007-10-13 2010-05-11 Wan-Ling Yu Method of forming metallic bump and seal for semiconductor device
KR20090059504A (ko) * 2007-12-06 2009-06-11 삼성전자주식회사 반도체 장치 및 그 제조방법들
JP5075611B2 (ja) 2007-12-21 2012-11-21 ローム株式会社 半導体装置
US7781867B2 (en) * 2007-12-28 2010-08-24 Fujitsu Limited Method and system for providing an aligned semiconductor assembly
US20090200675A1 (en) * 2008-02-11 2009-08-13 Thomas Goebel Passivated Copper Chip Pads
US8039964B2 (en) 2008-02-27 2011-10-18 International Business Machines Corporation Fluorine depleted adhesion layer for metal interconnect structure
US7648899B1 (en) 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
JP4987823B2 (ja) * 2008-08-29 2012-07-25 株式会社東芝 半導体装置
JP2012503309A (ja) * 2008-09-16 2012-02-02 アギア システムズ インコーポレーテッド 改良された機械的特性を有するPbフリーのハンダ・バンプ
US7928534B2 (en) 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
US7956442B2 (en) 2008-10-09 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Backside connection to TSVs having redistribution lines
TW201019440A (en) 2008-11-03 2010-05-16 Int Semiconductor Tech Ltd Bumped chip and semiconductor flip-chip device applied from the same
US9607936B2 (en) * 2009-10-29 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Copper bump joint structures with improved crack resistance
US8659155B2 (en) 2009-11-05 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps
US9082762B2 (en) 2009-12-28 2015-07-14 International Business Machines Corporation Electromigration-resistant under-bump metallization of nickel-iron alloys for Sn-rich solder bumps in Pb-free flip-clip
US20110195223A1 (en) * 2010-02-11 2011-08-11 Qualcomm Incorporated Asymmetric Front/Back Solder Mask
US9922955B2 (en) * 2010-03-04 2018-03-20 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming package-on-package structure electrically interconnected through TSV in WLCSP
US20110227216A1 (en) 2010-03-16 2011-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Under-Bump Metallization Structure for Semiconductor Devices

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040094842A1 (en) * 1999-05-10 2004-05-20 Jimarez Miguel A. Flip chip C4 extension structure and process
US20070231957A1 (en) * 2006-03-30 2007-10-04 Oki Electric Industry Co., Ltd. Method of manufacturing semiconductor device
CN200965875Y (zh) * 2006-10-16 2007-10-24 先进封装技术私人有限公司 半导体元件
CN101436559A (zh) * 2007-11-16 2009-05-20 台湾积体电路制造股份有限公司 半导体元件的制造方法
CN101286464A (zh) * 2008-05-29 2008-10-15 日月光半导体制造股份有限公司 在凸块下金属层上形成金属凸块的方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111656495A (zh) * 2018-02-13 2020-09-11 雷神公司 用于晶圆键合组件的晶圆级低熔解温度互连的制造方法
US11222813B2 (en) 2018-02-13 2022-01-11 Raytheon Company Method of manufacturing wafer level low melting temperature interconnections
CN109065509A (zh) * 2018-08-10 2018-12-21 付伟 带有单围堰及外移通孔的芯片封装结构及其制作方法

Also Published As

Publication number Publication date
US20110285011A1 (en) 2011-11-24
US9524945B2 (en) 2016-12-20
US20170084563A1 (en) 2017-03-23
US10163837B2 (en) 2018-12-25
TW201142997A (en) 2011-12-01
CN102254870A (zh) 2011-11-23

Similar Documents

Publication Publication Date Title
CN106206520A (zh) 集成电路元件、其形成方法及封装组件
CN102237317B (zh) 集成电路元件与封装组件
US20240105654A1 (en) Method of making semiconductor device and semiconductor device
CN105390473B (zh) 集成电路装置及封装组件
US9685372B2 (en) Method of forming Cu pillar bump with non-metal sidewall spacer and metal top cap
US9275965B2 (en) Copper pillar bump with cobalt-containing sidewall protection layer
CN102593044B (zh) 形成金属柱的方法
CN102148201B (zh) 半导体元件、封装结构、及半导体元件的形成方法
CN102237316B (zh) 集成电路元件及凸块结构的形成方法
CN102456653B (zh) 凸点下金属化层(ubm)结构及其形成方法
US20150380371A1 (en) Method of forming an integrated circuit device including a pillar capped by barrier layer
US11121101B2 (en) Flip chip packaging rework

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20161207