CN105531800A - 等离子体处理装置 - Google Patents

等离子体处理装置 Download PDF

Info

Publication number
CN105531800A
CN105531800A CN201480050227.5A CN201480050227A CN105531800A CN 105531800 A CN105531800 A CN 105531800A CN 201480050227 A CN201480050227 A CN 201480050227A CN 105531800 A CN105531800 A CN 105531800A
Authority
CN
China
Prior art keywords
gas
component
hangs down
plasma
shower plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480050227.5A
Other languages
English (en)
Other versions
CN105531800B (zh
Inventor
池田太郎
河西繁
原恵美子
藤野豊
长田勇辉
中込淳
小松智仁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN105531800A publication Critical patent/CN105531800A/zh
Application granted granted Critical
Publication of CN105531800B publication Critical patent/CN105531800B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种等离子体处理装置,其具有等离子体产生用天线,该等离子体产生用天线包括向处理容器内供给第1气体和第2气体的喷淋板,利用由于微波的供给而形成于该喷淋板表面的表面波形成等离子体而对基板进行处理,其中,具有从喷淋板的下端面向下方突出的导电体的垂下构件,该垂下构件的外侧面从上端部朝向下端部向外侧扩展,喷淋板具有多个第1气体供给口和多个第2气体供给口,第1气体供给口配置于比垂下构件的外侧面靠内侧的位置,第2气体供给口配置于比垂下构件的外侧面靠外侧的位置。

Description

等离子体处理装置
技术领域
本发明涉及具有向处理容器内供给预定的气体的喷淋板的等离子体处理装置。
本申请基于2013年9月11日在日本国提出申请的特愿2013-188665号以及2014年6月9日在日本国提出申请的特愿2014-118531号要求优先权,并将其内容引用于此。
背景技术
等离子体处理是制造半导体器件不可缺少的技术。近年来,出于LSI的高集成化以及高速化的要求,构成LSI的半导体元件要求进一步的细微加工。
然而,在容量耦合型等离子体处理装置、感应耦合型等离子体处理装置中,所生成的等离子体的电子温度较高、且等离子体密度较高的区域被限定。因此,难以实现可满足半导体元件的进一步的细微加工的要求的等离子体处理。
因而,为了实现这种细微加工,需要生成低电子温度且高等离子体密度的等离子体。为了满足这需要,提出有如下这样的装置:利用微波在处理容器内生成表面波等离子体,由此,对半导体晶圆(以下称为“晶圆”)进行等离子体处理(例如专利文献1)。
在专利文献1中,提出了一种等离子体处理装置,其中,使微波向同轴管传输而向处理容器内辐射,利用微波的表面波所具有的电场能量使等离子体产生用的气体激发,产生低电子温度且高等离子体密度的表面波等离子体。
然而,在专利文献1的等离子体处理装置中,微波从同轴管向处理容器内辐射,因此,其顶部成为利用石英等电介质板将表面波等离子体和天线之间夹持的构造,成为处理气体从处理容器的侧壁向处理容器内供给的构造。这样,气体从顶部以外进行了供给,因此,气体的流动无法控制,难以进行良好的等离子体控制。
因此,在引用文献2中提出了一种技术,在该技术中,在天线之下设置具有很多气体喷出孔的由电介质体构成的喷淋板,经由该喷淋板将处理气体铅垂向下地导入处理容器内。由此,在处理容器内形成铅垂方向的气流并均匀地供给处理气体,形成均匀的等离子体。
现有技术文献
专利文献
专利文献1:日本特开2003-188103号公报
专利文献2:日本特开2005-196994号公报
发明内容
发明要解决的问题
另外,由本发明人等确认了如下内容:若利用例如引用文献2那样的具有天线以及喷淋板的等离子体处理装置例如对晶圆进行成膜处理,则在喷淋板的孔的内部也形成膜。这样一来,由于在孔的内部形成膜,有可能堵塞喷淋板的孔。
推测其原因在于,在表面波等离子体的影响下,喷淋板附近的区域中的等离子体的电子温度比远离喷淋板的表面的位置的电子温度高。因此,在成膜处理中,例如甲硅烷气体(SiH4)等原料气体在喷淋板附近被过度分解。其结果,成膜堆积在喷淋板的孔部分、或发生了气相反应而导致产生灰尘。
关于这一点本发明人等进行了调查,结果确认了:在形成于喷淋板的、微波的辐射孔(槽)的附近电子温度特别升高。因此,推测为原料气体的过度分解的大多数在形成于喷淋板的槽的附近中产生。
为了防止原料气体的过度分解,使向天线供给的微波的功率降低即可。然而,若使微波的功率降低,则存在这样的问题:等离子体产生用的气体的激发变得不充分,无法形成稳定的等离子体。另外,出于生产率的观点考虑,期望的是使等离子体产生用的气体高效地激发,使例如成膜处理中的成膜速度提高。
本发明是鉴于这点做成的,其目的在于,在具有向处理容器内导入气体的喷淋板并利用微波产生表面波等离子体的等离子体处理装置中,抑制在喷淋板的气孔进行成膜且高效地产生等离子体。
用于解决问题的方案
为了达到上述目的,本发明是一种等离子体处理装置,该等离子体处理装置具有等离子体产生用天线,该等离子体产生用天线包括向处理容器内供给第1气体和第2气体的喷淋板,利用由于微波的供给而形成于所述喷淋板的表面的表面波形成等离子体而对基板进行处理,其中,该等离子体处理装置具有由导电体构成的垂下构件,该垂下构件从所述喷淋板的下端面向下方突出,所述垂下构件的外侧面从上端部朝向下端部向外侧扩展,所述喷淋板包括向所述处理容器内供给第1气体的多个第1气体供给口和向所述处理容器内供给第2气体的多个第2气体供给口,所述第1气体供给口配置于比所述垂下构件的外侧面靠内侧的位置,所述第2气体供给口配置于比所述垂下构件的外侧面靠外侧的位置。
采用本发明,在比垂下构件的外侧面靠内侧的位置设有第1气体供给口,因此,第1气体不会通过喷淋板外周部的电子温度较高的区域。因而,即使例如使用原料气体作为第2气体,也能够避免该原料气体被表面波等离子体过度分解。其结果,能够抑制向喷淋板的气孔堆积由反应生成和气相反应形成的堆积物。另外,垂下构件的外侧面从上端部朝向下端部向外侧扩展,因此,微波被该垂下构件的外侧面向横向和斜上方向反射。其结果,垂下构件的外侧面附近的电场强度变高,能够使从第2气体供给口供给的第2气体高效地激发而产生等离子体。
发明的效果
采用本发明,在具有向处理容器内导入气体的喷淋板并利用微波产生表面波等离子体的等离子体处理装置中,能够抑制向喷淋板的气孔堆积由反应生成和气相反应形成的堆积物,并且高效地产生等离子体。
附图说明
图1是表示本实施方式的等离子体处理装置的结构的概略的纵剖视图。
图2是表示微波输出侧的机构的图。
图3是示意地表示微波传输机构的结构的俯视图。
图4是表示微波导入机构附近的结构的概略的放大纵剖视图。
图5是表示垂下构件附近的结构的概略的立体图。
图6是表示以往的喷淋板附近的电子温度的分布的说明图。
图7是表示以往的喷淋板附近的电场强度的分布的说明图。
图8是表示具有垂下构件的喷淋板的附近的电场强度的分布的说明图。
图9是表示具有垂下构件的喷淋板的附近的电子温度的分布的说明图。
图10是表示具有垂下构件的喷淋板的附近的电子密度的分布的说明图。
图11是表示其他实施方式的等离子体处理装置的结构的概略的纵剖视图。
图12是表示其他实施方式的垂下部件的结构的概略的侧视图。
图13是表示其他实施方式的垂下部件的结构的概略的侧视图。
图14是表示其他实施方式的垂下部件的结构的概略的纵剖视图。
图15是垂下构件的下表面上的电场强度分布的实测值。
图16是垂下构件的下表面上的表面波的传播模型。
图17是表示垂下构件的下表面上的表面波的Bessel方程式。
图18是作为Bessel方程式的解所获得的Bessel函数。
图19是垂下构件的下表面上的电场强度分布的理论值以及实测值。
图20是表示其他实施方式的垂下部件的结构的概略的说明图。
具体实施方式
以下参照附图对本发明的实施方式进行详细地说明。另外,在本说明书以及附图中,通过对实质上具有同一功能结构的构成要素标注相同的附图标记,省略重复说明。
首先,参照图1说明本实施方式的等离子体处理装置的整体结构。图1是概略地表示等离子体处理装置1的纵剖视图。
在本实施方式中,列举对半导体晶圆W(以下称为晶圆W。)实施作为等离子体处理的成膜处理的CVD装置为例来说明等离子体处理装置1。等离子体处理装置1具有在被保持为气密的内部对晶圆W进行等离子体处理的处理容器10。处理容器10是上表面开口了的大致圆筒状,由例如铝等金属形成。该处理容器10被接地。
在处理容器10的底部设有用于载置晶圆W的基座11。基座11被支承构件12支持,隔着绝缘体12a被设置于处理容器10的底部。由此,基座11成为与处理容器10电绝缘的状态。作为基座11以及支承构件12的材料,可列举出对表面进行了铝阳极化处理(阳极氧化处理)的铝等。
基座11经由匹配器13与偏置用的高频电源14连接。高频电源14对基座11施加偏置用的高频电力,由此,等离子体里的离子被向晶圆W侧吸引。另外,在基座11中也可以设有用于对晶圆W进行静电吸附的静电卡盘、温度控制机构、用于向晶圆W的背面供给热传递用的气体的气体流路、输送晶圆W时升降的升降销等,但对此并未图示。
处理容器10的底部设有排气口15,排气口15与包括真空泵在内的排气装置16连接。当使排气装置16工作时,处理容器10的内部被排气,处理容器10内被减压到所期望的真空度。另外,在处理容器10的侧壁形成有输入输出口17,通过能够对输入输出口17进行开闭的闸阀18的开闭,晶圆W被输入输出。
在基座11的上方安装有在向处理容器10内供给气体的同时、能够供给微波的等离子体产生用天线20(以下称为“天线20”)。天线20以封堵处理容器10上部的开口的方式设置。由此,在基座11和天线20之间形成等离子体空间U。在天线20的上部连结有传输微波的微波传输机构30,从微波输出部40输出的微波向天线20传递。
如图1所示,在等离子体处理装置1中设有控制部500。控制部500例如是计算机,具有程序存储部(未图示)。在程序存储部中存储有对等离子体处理装置1中的晶圆W的处理进行控制的程序。另外,所述程序例如是被记录在计算机可读取的硬盘(HD)、软盘(FD)、光盘(CD)、磁光盘(MO)、存储卡等计算机可读取的存储介质的程序,也可以是从该存储介质安装于控制部500的程序。
接着,一边参照图2,一边对微波输出部40以及微波传输机构30的结构进行说明。
微波输出部40具有微波用电源41、微波振荡器42、放大器43以及将放大后的微波分配成多个的分配器44。微波用电源41向微波振荡器42供给电力。微波振荡器42使例如860MHz的预定频率的微波进行PLL振荡。放大器43对被振荡后的微波进行放大。分配器44以尽可能不引起微波的损失的方式一边使输入侧和输出侧阻抗匹配一边对放大器43放大后的微波进行分配。
微波传输机构30具有将被分配器44分配的微波向处理容器内引导的多个天线模块50和微波导入机构51。另外,在图2中,示意性地描绘出了微波传输机构30包括两个天线模块50和两个微波导入机构51的状态,在本实施方式中,例如,如图3所示,微波传输机构30具有例如7个天线模块50,在天线20的上部,6个天线模块50配置成同一圆周状,在其中心配置有1个天线模块50。
天线模块50具有相位器52、可变增益放大器53、主放大器54以及隔离器55,将从微波输出部40输出来的微波向微波导入机构51传输。
相位器52以使微波的相位变化的方式构成,通过调整该相位而能够对微波的辐射特性进行调制。这样的话,能够控制指向性而使等离子体分布产生变化。另外,在不需要这样的辐射特性的调制的情况下无需设置相位器52。
可变增益放大器53对向主放大器54输入的微波的电平进行调整,对等离子体强度进行调整。主放大器54构成固体放大器。固体放大器能够设为具有未图示的输入匹配电路、半导体放大元件、输出匹配电路以及高Q共振电路的结构。
隔离器55用于将被天线20反射而返回到主放大器54的微波的反射波分离,具有循环器和虚拟负载(同轴终端器)。循环器将被天线20反射了的微波向虚拟负载引导,虚拟负载将由循环器引导来的微波的反射波转换成热量。
接着,一边参照图4,一边对微波导入机构51以及等离子体产生用天线20的结构进行说明。图4是放大地表示本实施方式的微波导入机构51以及天线20的例如左半部的结构的概略的纵剖视图。
微波导入机构51具有同轴管60以及慢波板70。同轴管60具有由筒状的外部导体60a以及设于其中心的棒状的内部导体60b构成的同轴状的波导管。在同轴管60的下端隔着慢波板70设有天线20。同轴管60的内部导体60b处于供电侧,外部导体60a处于接地侧。在同轴管60中设有调谐器80。调谐器80例如具有两个铁芯80a,构成了铁芯调谐器。铁芯80a由电介质构件的板状体构成,呈圆环状设于同轴管60的内部导体60b和外部导体60a之间。调谐器80基于来自后述的控制部500的指令利用未图示的驱动机构使铁芯80a上下运动,从而对同轴管60的阻抗进行调整。
慢波板70与同轴管60的下表面邻接地设置。慢波板70由圆板状的电介质体构件形成。慢波板70供在同轴管60中传输的微波透过,将微波向天线20引导。
天线20具有喷淋板100。喷淋板100与慢波板70的下表面邻接地设置。喷淋板100是直径比慢波板70的直径大的大致圆盘形状,由铝、铜等导电率较高的导电体形成。喷淋板100暴露在处理容器10的等离子体空间U侧,使表面波在暴露出的下表面传播。在此,喷淋板100的金属面暴露在等离子体空间U侧。以下将向这样暴露出的下表面传播的表面波称为金属表面波。
在喷淋板100的下表面的与同轴管60的下方相对应的位置,设有向下方、即等离子体空间U侧突出的垂下构件101。垂下构件101是具有圆形的底面形状的大致圆锥台形状,与喷淋板100同样,由铝和铜等导电率较高的导电体形成。垂下构件101的外侧面具有例如从其上端面朝向下端面向外侧逐渐扩展的、例如以喷淋板100和垂下构件101的外侧面之间的连接点为原点的抛物线形状。
喷淋板100成为大致圆盘形状的上部板110和同样为大致圆盘形状的下部板120上下重叠而成的结构。在上部板110形成有贯穿其上表面而使气体沿着该上部板110的径向流通的气体流路130。气体流路130经由供给管132与供给第1气体的第1气体供给源131连接。另外,第1气体可以是多个种类的气体,还可以是它们的混合气体。作为第1气体,可使用作为原料气体的例如甲硅烷气体(SiH4)等。在上部板110的下表面的比垂下构件101外侧面靠内侧的位置,向铅垂上方延伸地设置有与气体流路130连通的多个第1气体供给口133。另外,在上部板110的与第1气体供给口133不同的位置形成有多个供微波通过的作为微波辐射孔的槽220。另外,优选的是,以从该槽220的中心朝向铅垂下方引出的假想线与垂下构件101的外侧面相交的方式设定了垂下构件101的形状和槽220的配置。换言之,优选的是,在俯视时,以槽220的中心位于比垂下构件101下表面的外周端部靠内侧的位置的方式配置了槽220。
在下部板120的、与上部板110的各第1气体供给口133相对应的位置,分别形成有沿着上下方向贯穿该下部板120的通孔150。由此,从第1气体供给口133供给的第1气体能够通过通孔150而到达下部板120的下端面。另外,在下部板120的、与上部板110的槽220相对应的位置,与上部板110同样地形成有槽220。
在垂下构件101内部的与各通孔150的下端相对应的位置,分别形成有从该垂下构件101的上端面连通到下端面的通孔160。另外,垂下构件101从下部板120的下端向铅垂下方突出预定的长度L地设置。因而,从第1气体供给源131供给到气体流路130的第1气体通过该多个通孔160,从比下部板120低了预定的长度L的位置被导入处理容器10的等离子体空间U。
另外,在下部板120形成有贯穿其侧面而使气体沿着该下部板120的径向流通的气体流路140。气体流路140经由供给管142与供给第2气体的第2气体供给源141连接。作为第2气体,可使用等离子体产生用的例如氮气、氩气、氢气、或者这些气体的混合气体等。另外,以在气体流路140中流通的气体和在气体流路130流通的气体不会在喷淋板100内混合的方式将气体流路140与气体流路130完全独立地设置。
在下部板120的下表面的比垂下构件101的外侧面靠外侧的位置、而且是与槽220不同的位置,与气体流路140连通的多个第2气体供给口151向铅垂上方延伸地设置。从第2气体供给源141供给到气体流路140的第2气体通过各第2气体供给口151,从下部板120的下表面被导入处理容器10的等离子体空间U。
所述多个槽220设于与作为气体供给路径的气体流路130、140、多个第1气体供给口133、第2气体供给口151以及通孔150,160不同的位置,沿着与喷淋板100的径向垂直的方向贯通。槽220的一端与慢波板70邻接,另一端向处理容器10的等离子体空间U侧开口。微波在同轴管60中传播,透过慢波板70之后,通过多个槽220而向处理容器内10辐射。另外,也可以为槽220的内部被石英等电介质充满的构造。
通孔160和第2气体供给口151的直径成为辐射到处理容器10内的微波部不会进入该通孔160和第2气体供给口151的内部那样的大小。在本实施方式中,例如是0.6mm。另外,槽220和第1气体供给口133、第2气体供给口151以及通孔150、160在喷淋板100内完全被分离。由此,能够防止第1气体供给口133、第2气体供给口151、通孔150、160处的异常放电。
另外,慢波板70、上部板110以及下部板120的接触面分别被未图示的O形密封圈密封。由此,能够将处理容器10、槽220的内部形成为真空状态,并且,避免第1气体和第2气体在喷淋板100内混合。
另外,喷淋板100的暴露在等离子体侧的面、即、下部板120的下表面以及垂下构件101的表面也可以通过喷镀而由例如氧化铝(Al2O3)和氧化钇(Y2O3)的覆膜(未图示)覆盖。由此,导体面也可以不暴露在等离子体空间侧。
图5是表示垂下构件101、下部板120、第2气体供给口151以及形成于垂下构件101的通孔160之间的概略的位置关系的一个例子的图,是表示从斜下方观察垂下构件101附近的状态的立体图。另外,在图5中,省略槽220的记载。例如,如图5所示,设于垂下构件101的通孔160在该垂下构件101的中央部附近呈同心圆状配置有多个。另外,如上所述,第1气体供给口133以及通孔150设于与通孔160相对应的位置。因此,在本实施方式中,第1气体供给口133以及通孔150例如也如图5所示那样成为与垂下构件101同心圆状的配置。第2气体供给口151与垂下构件101的上端部呈例如同心圆状配置有多个。另外,在图5中,第2气体供给口151配置于在从下方观察垂下构件101的情况下、被垂下构件101覆盖而无法看到的位置,但未必需要配置于由于垂下构件101而无法看到的位置。
接着,一并说明喷淋板100以及垂下构件101附近的结构与本发明的原理。在使用了微波的等离子体处理中,为了使在对晶圆W进行成膜时用作原料气体的、例如甲硅烷(SiH4)分解为SiH3,需要约8.75eV以上的能量。另一方面,用作等离子体产生用的气体的例如氮气的结合能量为约9.91eV。即,为了激发氮气而生成氮等离子体、氮自由基,需要施加约9.91eV以上的能量。因而,在该情况下的微波等离子体处理中向天线20供给的微波的功率以更高的能量、即、用于激发等离子体产生用气体的能量作为基准来决定。在此,在使用了金属表面波的微波等离子体处理(特别是由应用了渐逝波的表面波进行的等离子体处理)中,通常,天线20的下端面附近、例如距天线下表面大致5mm以内的区域与离开天线下表面大致5mm以上的区域相比,电子温度较高。
另外,本发明人等进行了调查,结果确认了电子温度在形成于喷淋板100的槽220附近特别高。图6表示槽220形成于外周部的喷淋板100附近的电子温度的分布。图6的纵轴是处理容器10内的高度,横轴是距同轴管60的中心轴线的水平方向上的距离。另外,在图6中,描绘出了槽220的中心距同轴管60的中心轴线大致35mm的情况的电子温度分布图。另外,图6所示的虚线是电子温度为1eV的边界线。在图6中,表示了如下内容:在比该虚线靠近槽220的区域X(以槽220为中心,大致半径35mm左右的区域)中,电子温度高于1eV,槽220的附近成为电子温度高的区域。即,在该区域X中,等离子体产生用气体以及原料气体活跃地电离。因此,若如以往那样从喷淋板供给作为等离子体产生用的气体的氮气、作为原料气体的甲硅烷气体这两者,则氮气在电子温度高的区域X中被分解而成为氮离子、氮原子自由基、氮原子,但在电子温度低的区域中,能量不充分,所以反应性高的原子状氮几乎没有生成。另一方面,甲硅烷气体在区域X的外侧也被分解成SiH3,但在电子温度变高的区域X中较多地生成SiH2、SiH,因此,在该区域X过度地生成SiH2、SiH而形成硅膜,堆积在喷淋板的气体供给口。
为了抑制由反应生成和气相反应形成的堆积物,降低向天线20供给的微波的功率,由此,使区域X中的电子温度下降即可。然而,若出于防止SiH2、SiH的过度生成的目的降低微波的功率,则无法获得用于使等离子体产生用气体分解的预定的电子温度。因此,降低微波的功率也存在极限。
因此,本发明人等为了抑制由不必要的反应生成和气相反应形成的堆积物堆积到气体供给口,对从喷淋板从100供给的原料气体不通过电子温度较高的区域X地向处理容器10内导入的方法进行了深入研究。但是,若像以往那样从处理容器10的侧壁向处理容器10内供给原料气体,则难以控制处理容器10内的气体的流动,无法获得均匀的等离子体。
因此,本发明人等想到了如下方面:以不使等离子体产生用的气体和原料气体在喷淋板100的内部混合的方式分别设置气体流路130、140,而且,将等离子体产生用的气体向区域X或区域X的附近供给,另一方面,分别向与区域X分开的场所供给原料气体,能够避免原料气体的过度的分解,且使等离子体产生用的气体高效地激发。然后,基于该想法,例如,想到了将图4所示那样的垂下构件101设于喷淋板100的下端。
在将垂下构件101设于喷淋板100的下端时,本发明人等首先对喷淋板100附近的电场强度进行了调查。在图7以及图8中示出了槽220形成于外周部的喷淋板100附近的电场强度的分布以及电场强度的方向。图7示出了仅有喷淋板100的情况,图8示出了在喷淋板100的下端设有垂下构件101的情况的电场强度分布。图7、图8的三角形的大小表示电场强度的强度,三角形的朝向表示电场的朝向。如图7所示,在没有设置垂下构件101的喷淋板100的情况下,电场主要朝向下方,但通过设置垂下构件101,如图8所示,确认到在垂下构件101的外侧面附近横向的电场强度变高。据此推测为在垂下构件101的外侧面附近可获得高电子温度。认为其原因在于,垂下构件101的外侧面从上端部朝向下端部向外侧扩展,因此,微波被该垂下构件101的外侧面向横向、斜上方向反射,在垂下构件101的外侧面附近形成了高能量的状态。
接着,图9示出了在喷淋板100设有垂下构件101的情况的、喷淋板100附近的电子温度的分布。此外,在图9中,同轴管60的中心轴和槽220的中心之间距离与图6的情况同样地也为大致35mm,垂下构件101的下表面的半径为大致45mm。从图9能够确认:通过设置垂下构件101,电子温度成为1eV以上的区域X处于槽220的附近,且分布于垂下构件101的外侧面,在垂下构件101的下表面,电子温度成为大致1eV以下。推测其原因在于,如上所述,垂下构件101的外侧面从上端部朝向下端部向外侧扩展,因此,微波被该垂下构件101的外侧面向横向、斜上方向反射,由此,垂下构件的外侧面附近的电场强度变高。
因而,如图4所示,通过将第2气体供给口151配置于垂下构件101的外侧面的外侧,将第1气体供给口133以及通孔150、160配置于比垂下构件101的外侧面靠内侧的位置,从而,一方面,能够向区域X集中地供给等离子体产生用气体,另一方面,使容易分解的原料气体不通过区域X就能够导入处理容器10内。在该情况下,能够抑制原料气体在区域X被过度分解,因此,能够抑制由原料气体生成前体(日文:プリカーサ),防止通孔160、第2气体供给口151闭塞。
此外,也对设置有垂下构件101的情况的喷淋板100附近的电子密度进行了确认,结果,如图10所示,确认了在槽220的附近且是垂下构件101的外侧面附近形成了高密度的区域。据此也能够确认:区域X成为高能量的状态,在该区域X中使等离子体产生用的气体高效地激发。
在此,例如,如从图9能够确认那样,电子温度较高的区域X从垂下构件101的外侧面向外侧方向分布,例如,在从下方观察垂下构件的情况下,在垂下构件101底面的外侧的位置也分布有区域X。因此,如上所述,第2气体供给口151未必需要设置于在从下方观察垂下构件101的情况下被垂下构件101覆盖而无法看到的位置,设置于面对区域X的位置即可。此外,由本发明人等确认了:例如,如本实施方式那样在垂下构件101的外侧面具有以喷淋板100的下部板120和垂下构件101的连接点为原点的抛物线形状的情况下,在该抛物线的焦点附近成为高能量状态。在该情况下,优选第2气体供给口151设于面对焦点附近的位置。
此外,由于在下部板120设置突起物即垂下构件101,所以表面波也在该垂下构件101传播,因此等离子体空间U中的均匀的等离子体的生成有可能被阻碍。因此,优选的是,垂下构件101的长度L的最大值为向处理容器10内导入的微波的波长以下,更优选为波长的1/2以下。由本发明人等确认了:通过如此设定垂下构件101的长度L,能够抑制表面波在垂下构件101的传播,能够在处理容器10内稳定地生成等离子体。在本实施方式中,使用了波长为348.6mm即860MHz的微波,因此,优选的是,垂下构件101的长度L设定在大致10mm~60mm的范围内,更优选设定在20mm~40mm的范围内。
本实施方式的等离子体处理装置1基于以上那样的见解。接着,对于使用等离子体处理装置1进行的处理,以在晶圆W形成氮化硅膜的情况为例进行说明。
首先,将晶圆W输入处理容器10内,载置于基座11上。然后,从第2气体供给源141将作为等离子体产生用的气体的氮气、氩气以及氢气的混合气体经由喷淋板100的下部板120导入处理容器10内。接下来,微波从微波输出部40输出,通过微波传输机构30以及慢波板70、槽220而将微波导入处理容器10内。由此,利用形成于天线20以及垂下构件101的表面的金属表面波生成表面波等离子体。此时,设有槽220的垂下构件101的外侧面附近的区域X成为高能量的状态,因此,从设于垂下构件101的外侧面附近的第2气体供给口151供给的等离子体产生用的气体在该区域X中被高能量激发,高效地生成氮自由基。与此同时,从第1气体供给源131将作为原料气体的甲硅烷气体经由第1气体供给口133、通孔150、160导入处理容器10内。
导入到处理容器10内的甲硅烷气体被等离子体激发而分解成SiH3。此时,甲硅烷气体被从垂下构件101的底面导入处理容器10的等离子体空间U,因此,甲硅烷气体不会通过电子温度较高的区域X。其结果,抑制由过量的SiH3导致的反应生成和气相反应。
然后,氮自由基以及SiH3随着从喷淋板100朝向晶圆W的、铅垂下方的气体流动而到达晶圆W的表面,作为氮化硅堆积于晶圆W的上表面。由此,在晶圆W的上面形成氮化硅膜。
根据以上的实施方式,在比垂下构件101的外侧面靠内侧的位置设有第1气体供给口133,因此,第1气体不会通过被形成于喷淋板100的槽220的附近的电子温度较高的区域X。因而,能够避免甲硅烷气体被表面波等离子体过度地分解。其结果,在使用喷淋板100来对晶圆W实施等离子体处理时,能够抑制由反应生成和气相反应形成的堆积物、在本实施方式为硅膜成膜于喷淋板100的通孔160、第2气体供给口151这样的气孔。
另外,以垂下构件101的外侧面具有抛物线形状且从槽220的中心朝向铅垂下方引出的假想线和该抛物线相交的方式设定了垂下构件101的形状和槽220的配置,因此,微波被该垂下构件101的外侧面向横向、斜上方向反射。因此,垂下构件101的外侧面附近的电场强度变高,在垂下构件101的外侧面形成高能量状态的区域X。其结果,从第2气体供给口供给的第2气体在区域X中被高效率地激发,因此,能够高效地产生等离子体。此外,槽220和垂下构件101之间的位置关系未必限定于本实施方式的内容,即使槽220位于垂下构件101外侧面的抛物线形状的外侧,从槽220导入的微波也被垂下构件101的外侧面反射,因此,能够在垂下构件101的外侧面附近形成电场强度较高的区域。
另外,根据本实施方式,通过在喷淋板100的下表面设置垂下构件101,例如,如图8所示,横向的电场强度在垂下构件101的外侧面附近变高。在此,在没有设置垂下构件101的以往的喷淋板中,例如,如图7所示,存在如下倾向:从该喷淋板向横向的电场的扩展不大,与同轴管60的下方相对应的区域的电场强度比除此之外的区域的电场强度相对变高。其结果,处理容器内的电场强度变得不均匀,等离子体处理的均匀性存在极限。在这点上,通过如本实施方式那样设置垂下构件101,能够提高横向的电场强度,相比以往使电场强度分布更均匀化。因而,根据本实施方式的等离子体处理装置1,能够进行均匀性比以往的均匀性高的等离子体处理。
此外,下部板120的下表面附近由于表面波等离子体而成为高温,因此,在气体流路140内流通的气体也由于该等离子体的热量而温度上升。其结果,气体流路140内的气体的内部能量增加,成为容易被表面波等离子体分解的状态。因而,只要使难以分解的气体、即在该情况下为等离子体产生用的气体向气体流路140内流通,就能够促进由表面波等离子体进行的分解。因而,优选的是,供给等离子体产生用的气体的第2气体供给源141与下部板120的气体流路140连接。
此外,在以上的实施方式中,第1气体供给口133仅设置于与垂下构件101相对应的位置,但第1气体供给口133也可以设置于与垂下构件101相对应的位置以外,例如,也可以如图11所示,第2气体供给口151和第1气体供给口133以成为大致等间隔的方式配置于喷淋板100的下表面。此外,在下部板120的与第1气体供给口133相对应的位置形成有通孔150。在该情况下,为了防止由于作为原料气体的第1气体通过下部板120下表面的电子温度较高的区域而因反应生成和气相反应形成的堆积物堵塞第2气体供给口151、通孔150这样的气孔,也可以在通孔150的下端设置预定的长度的供给喷嘴200。此外,在图11中描绘出供给喷嘴200的长度和垂下构件101的长度L相等的状态,但供给喷嘴200的长度并不限定于本实施方式的内容,例如,只要如上所述那样是通过距喷淋板100的下表面大致5mm以内的、电子温度比较高的区域的长度,就能够任意地设定。另外,由于设置作为突起物的供给喷嘴200,表面波也在该供给喷嘴200传播而引起共振,有可能阻碍等离子体空间U中的均匀的等离子体的生成。因此,优选的是,供给喷嘴200的长度为向处理容器10内导入的微波的波长的1/16~3/16左右,更优选设为1/8左右。
另外,在以上的实施方式中,垂下构件101的外侧面具有抛物线形状,但垂下构件101的形状并不限定于本实施方式的内容,外侧面只要是从上端部朝向下端部向外侧扩展的形状,可以任意地设定。例如,如图12所示,也可以使用外侧面形成为直线状的、大致圆锥台形状的垂下构件300,例如,如图13所示,也可以使用外侧面的切线方向逐渐从斜方向向铅垂方向变化的大致2次曲线形状的垂下构件310。根据本发明人等,垂下构件101的外侧面只要具有从上端部朝向下端部向外侧扩展的形状,微波就被垂下构件101的外侧面向横向、斜上方向反射,因此,就能够在垂下构件101的外侧面附近形成高能量的状态。
在以上的实施方式中,在垂下构件101的内部形成有沿着上下方向贯通的通孔160,但通孔160例如也可以沿着斜方向延伸,例如,只要以甲硅烷气体这样的原料气体不会被过度地分解的方式形成于不面对区域X的位置,能够任意地设定其形状。另外,也可以是,例如,如图14所示,在垂下构件101的内部形成与下部板120的通孔150连通的气体室101a,在该气体室101a的下方形成通孔160。
此外,在以上的实施方式中,在垂下构件101的中央部附近形成有多个通孔160,第1气体供给口133也形成于与该通孔160相对应的位置,但第1气体供给口133以及通孔160并不限定于本实施方式的内容。出于抑制作为原料气体的甲硅烷气体的过度的分解这样的观点考虑,若在垂下构件101下表面的面内存在电场强度较弱、或电子温度变低的区域,则也可以仅在该成为低电场强度、低电子温度的区域设置通孔160。
针对这点,本发明人等进行了深入调查,结果确认到:例如在垂下构件101下表面的预定的位置呈同心圆状地存在电场强度变弱的区域。根据本发明人等,该电场强度变弱的区域如后述那样存在于与作为表示垂下构件101的下表面的电场强度分布的Bessel方程式的解所获得的Bessel函数的极小值相对应的位置。以下,对该电场强度变弱的区域进行说明。
本发明人等首先利用朗缪尔探针以及频谱分析仪对垂下构件101的下表面的电场强度分布进行了测定。具体而言,从处理容器10的外部插入与频谱分析仪(未图示)连接的朗缪尔探针(未图示),沿着垂下构件101下表面的直径方向对距垂下构件101的下端面大致10mm的下方位置进行了扫描。此时,从微波振荡器42供给了860MHz的微波。此外,垂下构件101的半径是大致45mm。将其结果表示在图15中。图15的横轴表示垂下构件101的半径方向上的位置,纵轴表示电场强度。
根据图15所示的电场强度分布,能够确认在距垂下构件101下表面的中心大致20mm的位置存在电场强度成为极小的点。另外,在频谱分析仪的测定结果中,在860MHz时观测到峰值。由此可知:在向处理容器10内导入了微波的情况下,在垂下构件101下表面,在以该垂下构件101的中心为圆心的半径为20mm的圆的圆周上的位置形成电场强度比其他区域的电场强度低的区域。
并且,本发明人等进一步对在垂下构件101的下表面产生这样的电场强度分布的理由进行研究,得到了如下见解:该电场强度分布与作为表示垂下构件101的下表面的电场强度分布的Bessel方程式的解所获得的Bessel函数相对应。以下,对求出表示电场强度分布的Bessel方程式的解的方法进行说明。
本发明人等认为:对于在垂下构件101的下表面传播的表面波,如图16所示那样的圆柱坐标系的模型成立。具体而言,为这样的状态:以金属的垂下构件101的底面(下表面)250为基准,在铅垂下方设置预定的厚度d的圆盘状的电介质体251,在该电介质体251的下表面形成等离子体252。在此,圆柱坐标系的半径是a。另外,电介质体251是形成于垂下构件101的下方的等离子体鞘,其介电常数为1。并且,在表面波以图16所示那样的圆柱坐标系表示的情况下,表示表面波的麦克斯韦方程式例如能够由图17所示那样的、Bessel方程式表示。此外,在图17所示的式中,Ez是铅垂方向的电场强度,r是半径方向的坐标,k以及β是微波的波数。
并且,在图16所示的模型中,垂下构件101的外周端部敞开,因此,若将等离子体鞘的端部、即电介质体251的端部作为开放端对图17的式进行求解,则作为Bessel方程式的解,可获得图18所示那样的Bessel函数。
并且,若根据该Bessel函数求出半径45mm的垂下构件101下表面的电场强度分布的理论值,则获得例如图19中以虚线所示那样的分布。图15的横轴表示垂下构件101的半径方向上的位置,纵轴表示电场强度。此外,图19的实线是图15所示的实测的电场强度分布。
如图19所示,能够确认:以图18的函数表示的电场强度分布在大致半径20mm附近的位置具有极小点,与图15所示的、电场强度分布的实测数据大致吻合。从该结果可知:本实施方式的垂下构件101的下表面的电场强度分布可通过作为Bessel方程式的解所获得的Bessel函数求得。
并且,垂下构件101的下表面的电场强度分布在预定的半径、本实施方式为大致半径20mm的圆的圆周上成为极小的值。因而,如上所述,更优选的是,垂下构件101下表面的通孔160、以及与该通孔160相对应的第1气体供给口133设置于与Bessel函数的极小值相对应的位置。通过这样设置,能够避开区域X的同时、通过垂下构件101的下表面的电场强度更低的区域而将原料气体导入处理容器10内。其结果,能够更高效地抑制例如作为原料气体的甲硅烷气体的过度的分解。另外,通过如此将通孔160设置于考虑了极小值的位置,与不考虑极小值的情况相比,可以使垂下构件101的突出长度L相对缩短。因此,可以减小处理容器10的容积,能够获得节约空间这样的效果。另外,也能够缩短处理容器10内的真空排气所需要的时间等,因此,可以在提高处理的处理能力这样的方面也获得效果。
另外,出于使原料气体不通过区域X就导入处理容器10内这样的观点考虑,未必需要将垂下构件101设为作为原料气体的第1气体在其内部通过那样的构造,也可以是,例如使用内部中空的环状的垂下构件,向比该垂下构件的内侧面靠内侧的位置供给第1气体。具体而言,例如,如图20所示,将直径朝向下方逐渐变大的、上端和下端开口的大致圆环状的垂下构件320设于下部板120的下表面。在该情况下,优选的是,在下部板120的各通孔150的下端,分别设置向铅垂下方延伸预定的长度的供给喷嘴321。通过经由供给喷嘴321来使原料气体不通过距喷淋板100的下表面大致5mm以内的、电子温度比较高的区域就能够导入处理容器10内。此外,在图15中,描绘出供给喷嘴321的长度与垂下构件320的长度L相等的状态,但能够以与上述的供给喷嘴200同样的方法决定供给喷嘴321的长度。
在以上的实施方式中,上部板110的气体流路130以及下部板120的气体流路140分别经由一个供给管132、142与第1气体供给源131、第2气体供给源141连接,但也可以是,例如气体流路130、气体流路140设为分别独立的环状且呈同心圆状的流路,在各个气体流路设有多个供给管132以及多个供给管142,对向各流路供给的气体的流量进行控制。通过那样设置,可以针对下部板120的各区域控制气体的供给量,例如与电场强度分布相对应地控制原料气体、等离子体产生用气体的供给量,能够对晶圆W进行更均匀的等离子体处理。
尤其是,在如以往那样使用没有垂下构件101的喷淋板100来向处理容器10内供给甲硅烷气体作为原料气体的情况下,原料气体在喷淋板100下表面被过度分解,因此,难以控制SiH3的生成量,但在本发明中,通过经由垂下构件101供给甲硅烷气体,能够抑制过度的SiH3的生成。因而,能够通过控制甲硅烷气体的供给量来容易地调整SiH3的生成量,由此,可以控制晶圆W上的成膜量。在该情况下,还通过设置多个供给管132以及多个供给管142来针对下部板120的每个预定的区域控制气体的供给量,能够针对各区域进一步严密地调整氮自由基和SiH3的生成量,因此,可以对晶圆W实施更均匀的等离子体处理
另外,在以上的实施方式中,喷淋板100由上部板110和下部板120构成,但只要是第1气体的气体流路130和第2气体的气体流路140独立地形成、气体不会在喷淋板100的内部混合的结构,对于喷淋板100如何构成,并不限定于本实施方式,能够任意地设定。
以上,参照附图对本发明的优选实施方式进行了详细地说明,本发明并部限定于该例子。只要是具有本发明所属的技术领域的通常知识的人,在权利要求书所记载的技术思想的范畴内能想到各种变更例或修正例是显而易见的,这些也当然被理解为属于本发明的保护范围。
附图标记说明
1、等离子体处理装置;10、处理容器;11、基座;12、支承构件;13、匹配器;14、高频电源;30、微波传输机构;40、微波输出部;50、天线模块;100、喷淋板;101、垂下构件;110、上部板;120、下部板;130、气体流路;133、第1气体供给口;140、气体流路;151、第2气体供给口;220、槽;500、控制装置;U、等离子体空间;W、晶圆;X、区域。

Claims (9)

1.一种等离子体处理装置,其具有等离子体产生用天线,该等离子体产生用天线包括向处理容器内供给第1气体和第2气体的喷淋板,利用由于微波的供给而形成于所述喷淋板的表面的表面波形成等离子体而对基板进行处理,其中,
该等离子体处理装置具有由导电体构成的垂下构件,该垂下构件从所述喷淋板的下端面向下方突出,
所述垂下构件的外侧面从上端部朝向下端部向外侧扩展,
所述喷淋板具有用于向所述处理容器内供给第1气体的多个第1气体供给口和用于向所述处理容器内供给第2气体的多个第2气体供给口,
所述第1气体供给口配置于比所述垂下构件的外侧面靠内侧的位置,
所述第2气体供给口配置于比所述垂下构件的外侧面靠外侧的位置。
2.根据权利要求1所述的等离子体处理装置,其中,
在所述垂下构件的内部形成有从该垂下构件的上端部面连通到下端面的通孔,
所述第1气体供给口与所述通孔连接。
3.根据权利要求1所述的等离子体处理装置,其中,
所述垂下构件的下表面是圆形,
所述第1气体供给口设于与作为Bessel方程式的解所获得的、所述垂下构件的下端面处的电场强度分布的极小值相当的位置。
4.根据权利要求1所述的等离子体处理装置,其中,
所述垂下构件形成为环状,
所述第1气体供给口配置于比所述垂下构件的内侧面靠内侧的位置。
5.根据权利要求4所述的等离子体处理装置,其中,
各个所述第1气体供给口分别与从所述喷淋板下表面向铅垂下方突出的多个供给喷嘴连接。
6.根据权利要求1所述的等离子体处理装置,其中,
所述垂下构件的外侧面是随着向下而向外侧逐渐扩展的抛物线形状。
7.根据权利要求1所述的等离子体处理装置,其中,
所述第1气体是比所述第2气体更容易被等离子体分解的气体。
8.根据权利要求7所述的等离子体处理装置,其中,
所述第1气体是原料气体,所述第2气体是等离子体产生用的气体。
9.根据权利要求1所述的等离子体处理装置,其中,
在所述喷淋板设有向所述处理容器内辐射微波的微波辐射孔,
微波辐射孔以在俯视时位于比所述垂下构件的外周端部靠内侧的位置的方式配置。
CN201480050227.5A 2013-09-11 2014-09-04 等离子体处理装置 Active CN105531800B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2013-188665 2013-09-11
JP2013188665 2013-09-11
JP2014118531A JP6338462B2 (ja) 2013-09-11 2014-06-09 プラズマ処理装置
JP2014-118531 2014-06-09
PCT/JP2014/073311 WO2015037508A1 (ja) 2013-09-11 2014-09-04 プラズマ処理装置

Publications (2)

Publication Number Publication Date
CN105531800A true CN105531800A (zh) 2016-04-27
CN105531800B CN105531800B (zh) 2017-09-05

Family

ID=52665614

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480050227.5A Active CN105531800B (zh) 2013-09-11 2014-09-04 等离子体处理装置

Country Status (6)

Country Link
US (1) US10557200B2 (zh)
JP (1) JP6338462B2 (zh)
KR (2) KR101831576B1 (zh)
CN (1) CN105531800B (zh)
TW (1) TWI643236B (zh)
WO (1) WO2015037508A1 (zh)

Families Citing this family (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6338462B2 (ja) * 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6404111B2 (ja) * 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10790118B2 (en) * 2017-03-16 2020-09-29 Mks Instruments, Inc. Microwave applicator with solid-state generator power source
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10811232B2 (en) * 2017-08-08 2020-10-20 Applied Materials, Inc. Multi-plate faceplate for a processing chamber
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6960813B2 (ja) * 2017-09-20 2021-11-05 東京エレクトロン株式会社 グラフェン構造体の形成方法および形成装置
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP7515411B2 (ja) 2018-06-27 2024-07-12 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) * 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
CN113000233B (zh) * 2019-12-18 2022-09-02 中微半导体设备(上海)股份有限公司 等离子体反应器及其气体喷嘴
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TW202233887A (zh) * 2021-02-03 2022-09-01 美商Mks儀器公司 利用微波輻射能量對原子層沉積製程進行微波輔助表面化學退火的微波系統
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20230015528A1 (en) * 2021-07-19 2023-01-19 Epirus, Inc. Systems and methods for decomposition of molecules
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117457467B (zh) * 2023-12-19 2024-04-19 哈尔滨工业大学 等离子体腔室阵列成像监测装置及空间不均匀性校准方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1460287A (zh) * 2001-03-28 2003-12-03 大见忠弘 等离子体处理装置
JP2005167227A (ja) * 2003-11-11 2005-06-23 Ibiden Co Ltd ガス噴出ヘッド、その製法、半導体製造装置及び耐食性材料
JP2008066413A (ja) * 2006-09-05 2008-03-21 Tokyo Electron Ltd シャワーヘッド構造及びこれを用いた処理装置
JP2010153531A (ja) * 2008-12-25 2010-07-08 Hitachi Kokusai Electric Inc 半導体製造装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
JP3969081B2 (ja) 2001-12-14 2007-08-29 東京エレクトロン株式会社 プラズマ処理装置
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
JP4532897B2 (ja) 2003-12-26 2010-08-25 財団法人国際科学振興財団 プラズマ処理装置、プラズマ処理方法及び製品の製造方法
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
JP4503356B2 (ja) * 2004-06-02 2010-07-14 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
JP5068458B2 (ja) * 2006-01-18 2012-11-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
CN101451237B (zh) * 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
JP5202050B2 (ja) 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP5253932B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5328685B2 (ja) * 2010-01-28 2013-10-30 三菱電機株式会社 プラズマ処理装置及びプラズマ処理方法
JP5823399B2 (ja) * 2010-09-09 2015-11-25 東京エレクトロン株式会社 マイクロ波導入機構、マイクロ波プラズマ源およびマイクロ波プラズマ処理装置
JP2012216525A (ja) * 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ処理装置及びプラズマ発生用アンテナ
US20130071581A1 (en) * 2011-09-20 2013-03-21 Jonghoon Baek Plasma monitoring and minimizing stray capacitance
KR101854738B1 (ko) 2012-01-09 2018-06-20 주성엔지니어링(주) 박막 증착 장치, 플라즈마 발생 장치, 및 박막 증착 방법
GB201214370D0 (en) * 2012-08-13 2012-09-26 Element Six Ltd Thick polycrystalline synthetic diamond wafers for heat spreading applications and microwave plasma chemical vapour deposition synthesis techniques
JP6096547B2 (ja) 2013-03-21 2017-03-15 東京エレクトロン株式会社 プラズマ処理装置及びシャワープレート
JP6338462B2 (ja) * 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
US9275840B2 (en) * 2014-01-25 2016-03-01 Yuri Glukhoy Method for providing uniform distribution of plasma density in a plasma treatment apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1460287A (zh) * 2001-03-28 2003-12-03 大见忠弘 等离子体处理装置
JP2005167227A (ja) * 2003-11-11 2005-06-23 Ibiden Co Ltd ガス噴出ヘッド、その製法、半導体製造装置及び耐食性材料
JP2008066413A (ja) * 2006-09-05 2008-03-21 Tokyo Electron Ltd シャワーヘッド構造及びこれを用いた処理装置
JP2010153531A (ja) * 2008-12-25 2010-07-08 Hitachi Kokusai Electric Inc 半導体製造装置

Also Published As

Publication number Publication date
CN105531800B (zh) 2017-09-05
KR20170123692A (ko) 2017-11-08
TW201528320A (zh) 2015-07-16
JP2015079735A (ja) 2015-04-23
US20160222516A1 (en) 2016-08-04
KR20160055146A (ko) 2016-05-17
TWI643236B (zh) 2018-12-01
JP6338462B2 (ja) 2018-06-06
US10557200B2 (en) 2020-02-11
KR101831576B1 (ko) 2018-02-22
KR101831537B1 (ko) 2018-02-22
WO2015037508A1 (ja) 2015-03-19

Similar Documents

Publication Publication Date Title
CN105531800A (zh) 等离子体处理装置
TWI681073B (zh) 電漿處理裝置
TWI619841B (zh) Plasma processing device and shower plate
US6286454B1 (en) Plasma process device
JP2005235755A (ja) マイクロウェーブ供給装置、それを用いたプラズマ工程装置及びプラズマ工程方法
CN101978794B (zh) 电力合成器以及微波导入机构
US20120247390A1 (en) Film formation apparatus
KR101358779B1 (ko) 멀티 코어 플라즈마 발생 플레이트를 구비한 플라즈마반응기
TWI834656B (zh) 具有整合氣體分配的模組化高頻源
CN102458032A (zh) 微波等离子体源和等离子体处理装置
TW200810613A (en) Plasma treatment device, and plasma treatment method
TWI632610B (zh) 基板處理裝置
JP4344886B2 (ja) プラズマ処理装置
KR20180014656A (ko) 기판 처리 장치 및 기판 처리 방법
CN109312461B (zh) 等离子体处理装置和等离子体处理用反应容器的构造
CN108277479B (zh) 一种可控制气流均匀平稳的pecvd装置
TWI585232B (zh) 線性電漿輔助化學氣相沈積設備
CN102086514B (zh) 一种pecvd系统
JP2016014185A (ja) 成膜装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant