CN105074883B - 成膜方法和成膜系统 - Google Patents

成膜方法和成膜系统 Download PDF

Info

Publication number
CN105074883B
CN105074883B CN201480009698.1A CN201480009698A CN105074883B CN 105074883 B CN105074883 B CN 105074883B CN 201480009698 A CN201480009698 A CN 201480009698A CN 105074883 B CN105074883 B CN 105074883B
Authority
CN
China
Prior art keywords
film
ultraviolet
irradiation
heat treatment
organic film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480009698.1A
Other languages
English (en)
Other versions
CN105074883A (zh
Inventor
志村悟
岩尾文子
吉原孝介
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN105074883A publication Critical patent/CN105074883A/zh
Application granted granted Critical
Publication of CN105074883B publication Critical patent/CN105074883B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C11/00Component parts, details or accessories not specifically provided for in groups B05C1/00 - B05C9/00
    • B05C11/10Storage, supply or control of liquid or other fluent material; Recovery of excess liquid or other fluent material
    • B05C11/1002Means for controlling supply, i.e. flow or pressure, of liquid or other fluent material to the applying apparatus, e.g. valves
    • B05C11/1015Means for controlling supply, i.e. flow or pressure, of liquid or other fluent material to the applying apparatus, e.g. valves responsive to a conditions of ambient medium or target, e.g. humidity, temperature ; responsive to position or movement of the coating head relative to the target
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C9/00Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important
    • B05C9/08Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation
    • B05C9/12Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation the auxiliary operation being performed after the application
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C9/00Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important
    • B05C9/08Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation
    • B05C9/14Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation the auxiliary operation involving heating or cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C11/00Component parts, details or accessories not specifically provided for in groups B05C1/00 - B05C9/00
    • B05C11/02Apparatus for spreading or distributing liquids or other fluent materials already applied to a surface ; Controlling means therefor; Control of the thickness of a coating by spreading or distributing liquids or other fluent materials already applied to the coated surface
    • B05C11/08Spreading liquid or other fluent material by manipulating the work, e.g. tilting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Abstract

本发明是在表面形成有图案的基板上形成有机膜的发明,在基板上涂布有机材料,此后,对该有机材料进行热处理,在基板上形成有机膜,此后,对该有机膜进行紫外线照射处理,将该有机膜的表面除去至规定的深度,由此在基板上适当且高效地形成有机膜。

Description

成膜方法和成膜系统
技术领域
本发明涉及在表面形成有图案的基板上形成有机膜的成膜方法、计算机存储介质和用于执行该成膜方法的成膜系统。
本申请基于2013年2月22日在日本提出的特愿2013-033216号主张优先权,在这里引用其内容。
背景技术
例如,在多层布线结构的半导体设备的制造工序中,例如依次进行在半导体晶片(以下,称为“晶片”。)上涂布抗蚀液而形成抗蚀膜的抗蚀剂涂布处理、对该抗蚀膜曝光规定的图案的曝光处理、对曝光的抗蚀膜进行显影的显影处理等,在晶片上形成规定的抗蚀图案。将该抗蚀图案作为掩模,进行晶片的蚀刻处理,此后进行抗蚀膜的除去处理等,在晶片上形成规定的图案。这样重复多次进行在规定的层形成规定的图案的工序,制造多层布线结构的半导体设备。
但是,这样在晶片上重复形成规定的图案时,在第n层形成规定的图案之后,为了以适当的高度形成第(n+1)层的抗蚀膜,要求涂布抗蚀液的面是平坦的。
因此,以往,进行着在晶片的规定图案上形成有机膜、使其表面平坦化的操作。这样的有机膜的形成如下进行:在晶片上涂布有机材料,对所涂布的有机材料进行加热形成有机膜,接着例如通过干式蚀刻法(反应性离子蚀刻法)蚀刻有机膜,除去该有机膜的表面(专利文献1)。另外,作为有机膜,例如使用SOC(Spin On Cap)膜、SOG(Spin On Glass)膜等。
现有技术文献
专利文献
专利文献1:日本特开2003-218116号公报
发明内容
发明所要解决的课题
在使用上述的专利文献1所记载的方法时,有机材料的涂布和有机材料的加热分别在常压气氛下进行,相对于此,有机膜的蚀刻在真空气氛下进行。这样,将这些常压气氛下的处理和真空气氛下的处理在分别的系统进行,需要在系统间搬送晶片。因此,系统的制造成本提高,并且晶片处理的生产率降低。
另外,以干式蚀刻法进行有机膜的蚀刻时,由于等离子体,担心晶片、晶片上的膜由于等离子体受到损伤。另外,也担心由于该等离子体,晶片上的膜被改性。
本发明是鉴于这些方面而完成的发明,目的在于在表面形成有图案的基板上适当且高效地形成有机膜。
用于解决课题的方法
为了实现上述的目的,本发明是在表面形成有图案的基板上形成有机膜的成膜方法,包括:在基板上涂布有机材料的涂布处理工序;此后,对上述有机材料进行热处理,在基板上形成有机膜的热处理工序;和此后,对上述有机膜进行紫外线照射处理,将该有机膜的表面除去至规定的深度的紫外线照射工序。
根据本发明,紫外线照射工序中,对有机膜进行紫外线照射处理。即,通过照射紫外线,在处理气氛中产生活性氧和臭氧,通过这些活性氧和臭氧将有机膜的表面分解除去。接着,除去有机膜的表面,使得形成图案的区域中的表面高度与形成图案的凹部的区域的表面高度差在规定的范围内。这样,在表面形成有图案的基板上形成有机膜时,也能够使该有机膜的表面平坦化。
另外,通过紫外线照射处理除去有机膜的表面,因此不会如以往进行干式蚀刻法那样对基板、基板上的膜造成损伤,并且也不用担心基板上的膜被改性。因此,能够在基板上适当地形成有机膜。
并且,根据本发明,能够使涂布处理工序、热处理工序和紫外线照射工序全部在常压气氛下进行,能够以一个系统进行这些工序。因 此,能够使系统的制造成本低廉化,并且能够使基板处理的生产率提高。
根据其他的观点,本发明为可读取的计算机存储介质,存储有在控制部的计算机上操作的程序,该程序以通过成膜系统执行上述成膜方法的方式,控制该成膜系统。
根据其他的观点,本发明为在表面形成有图案的基板上形成有机膜的成膜系统,具有:在基板上涂布处理有机材料的涂布处理部;对上述有机材料进行热处理,在基板上形成有机膜的热处理部;对上述有机膜进行紫外线照射处理的紫外线照射部;控制部,控制上述涂布处理部、上述热处理部和上述紫外线照射部,使得上述涂布处理、上述热处理和上述紫外线照射处理以该顺序进行,在上述紫外线照射处理中将上述有机膜的表面除去至规定的深度。
发明的效果
根据本发明,能够在表面形成有图案的基板上适当且高效地形成有机膜。
附图说明
图1是表示本实施方式的成膜系统的构成的概略的俯视图。
图2是表示本实施方式的成膜系统的内部构成的概略的侧面图。
图3是表示本实施方式的成膜系统的内部构成的概略的侧面图。
图4是表示涂布处理装置的构成的概略的纵剖面图。
图5是表示涂布处理装置的结构的概略的横剖面图。
图6是表示晶片处理装置的结构的概略的纵剖面图。
图7是表示晶片处理装置的结构的概略的横剖面图。
图8是表示以成膜系统处理前的晶片的状态的说明图。
图9是表示成膜处理的各工序中的晶片的状态的说明图,(a)是表示在晶片上涂布有机材料的状态,(b)是表示进行第一次加热处理而在晶片上形成有机膜的状态,(c)是表示进行第一次紫外线照射处理而除去有机膜的表面的状态,(d)是表示进行第二次加热处理而在晶片上形成有机膜的状态,(e)是表示进行第二次紫外线照射处理而 除去有机膜的表面的状态,(f)是表示进行第n次紫外线照射处理而除去有机膜的表面的状态,(g)是表示在晶片上形成规定的有机膜的状态。
图10是表示其他实施方式的晶片处理装置的构成的概略的纵剖面图。
图11是表示其他实施方式的成膜系统的内部构成的概略的侧面图。
图12是表示其他实施方式的晶片处理装置的构成的概略的纵剖面图。
图13是表示其他实施方式的晶片处理装置的构成的概略的纵剖面图。
图14是表示膜厚测定装置的构成的概略的纵剖面图。
具体实施方式
以下,对本发明的实施方式进行说明。图1是表示本实施方式的成膜系统1的构成的概略的俯视图。图2和图3是表示成膜系统1的内部构成的概略的侧面图。此外,对本实施方式的成膜系统1中在作为基板的的晶片W上形成作为SOC膜的有机膜的情况进行说明。另外,在成膜系统1中所处理的晶片W上预先形成有SiO2膜等的规定图案。
成膜系统1,如图1所示,具有将盒基座2和处理基座3一体连接的结构,其中,盒基座2将多片、例如25片的晶片W以盒单元在外部和成膜系统1之间搬入搬出或相对盒C将晶片W搬入搬出,处理基座3具备对晶片W实施规定的处理的多个处理装置。
在盒基座2设置有盒载置台10。盒载置台10将多个盒C在X方向(图1中的上下方向)自由载置为一列。即,盒基座2构成为能够保持多片晶片W。
在盒基座2,设置有能够在沿X方向延伸的搬送通路11上移动的晶片搬送体12。晶片搬送体12也能够在铅直方向和铅直周围(θ方向)自由移动,在盒C和处理基座3之间搬送晶片W。
在处理基座3,在其中心部设置晶片搬送装置20。在该晶片搬送 装置20的周围,多级配置各种处理装置,例如配置4个处理块G1~G4。在处理基座3的正面侧(图1的X方向负方向侧),从盒基座2侧顺次配置第一处理块G1、第二处理块G2。在处理基座3的背面侧(图1的X方向正方向侧),从盒基座2侧顺次配置第三处理块G3、第四处理块G4。在处理基座3的盒基座2侧,配置用于进行晶片W的交接的交接装置21。晶片搬送装置20对配置于这些处理块G1~G4内的后述的各种处理装置、和交接装置21搬送晶片W。
在第一处理块G1,如图2所示,从下方顺次分2段重叠多个液处理装置、例如作为在晶片W涂布用于形成有机膜的有机材料的涂布处理部的涂布处理装置30、31。第二处理块G2也同样,从下方顺次分2段重叠涂布处理装置32、33。另外,在第一处理块G1和第二处理块G2的最下段,分别设置用于向涂布处理装置30~33供给有机材料的化学药品室34、35。此外,有机材料是将例如作为有机膜的SOC膜的组合物溶解于规定溶剂得到的液体。
在第三处理块G3,如图3所示,从下方顺次分5段重叠对晶片W进行热处理并且对晶片W进行紫外线照射处理的晶片处理装置40、41、42、调节晶片W的温度的温度调节装置43、44。
在第四处理块G4,与第三处理块G3同样,从下方顺次分5段重叠晶片处理装置50、51、52、温度调节装置53、54。
接着,对上述的涂布处理装置30~33的构成进行说明。涂布处理装置30,如图4所示,具有能够将内部封闭的处理容器100。在处理容器100的晶片搬送装置20侧的侧面,形成有晶片W的搬入搬出口(无图示),在该搬入搬出口设置开关窗(无图示)。
在处理容器100内的中央部,设置保持晶片W并使其旋转的旋转卡盘110。旋转卡盘110具有水平的上表面,在该上表面例如设置吸引晶片W的吸引口(无图示)。通过来自吸引口的吸引,能够将晶片W吸附保持于旋转卡盘110上。
在旋转卡盘110的下方,例如设置具备电机等的卡盘驱动部111。旋转卡盘110能够通过卡盘驱动部111以规定的速度旋转。另外,在卡盘驱动部111例如设置有料筒等的升降驱动源,旋转卡盘110自由升降。
在旋转卡盘110的周围,设置有接住并回收从晶片W飞散或落下的液体的杯子112。在杯子112的下面连接有将回收的液体排出的排出管113和将杯子112内的气氛抽真空并排气的排气管114。
如图5所示,在杯子112的X方向负方向(图5中的下方向)侧形成沿Y方向(图5中的左右方向)延伸的导轨120。导轨120例如从杯子112的Y方向负方向(图5中的左方向)侧的外侧形成至Y方向正方向(图5中的右方向)侧的外侧。在导轨120安装有臂121。
在臂121,如图4和图5所示,支撑有向晶片W上供给有机材料的涂布喷嘴122。臂121通过图5所示的喷嘴驱动部123在导轨120上自由移动。由此,涂布喷嘴122能够从设置于杯子112的Y方向正方向侧的外侧的待机部124移动至杯子112内的晶片W的中心部上方,进一步能够在该晶片W上沿晶片W的径向移动。另外,臂121能够通过喷嘴驱动部123自由升降,调节涂布喷嘴122的高度。
涂布喷嘴122如图4所示与向该涂布喷嘴122供给有机材料的供给管125连接。供给管125与在内部储存有机材料的有机材料供给源126连通。另外,在供给管125上设置有包括控制有机材料的流动的阀、流量调节部等的供给机器组127。
此外,在旋转卡盘110的下方也可以设置有朝向晶片W的背面喷射清洗液的背面冲洗喷嘴(无图示)。通过从该背面冲洗喷嘴喷射的清洗液,清洗晶片W的背面和晶片W的外周部。
此外,涂布处理装置31~33的构成与上述的涂布处理装置30的构成同样,因此省略说明。
接着,对上述的晶片处理装置40~42、50~52的结构进行说明。晶片处理装置40,如图6和图7所示,具有能够将内部封闭的处理容器130。在处理容器130的晶片搬送装置20侧的侧面,形成晶片W的搬入搬出口(无图示),在该搬入搬出口设置有开关窗(无图示)。
在处理容器130的顶面,形成有向该处理容器130的内部供给例如氧化性气体的气体供给口131。在气体供给口131连接有与气体供给源132连通的气体供给管133。在气体供给管133设置有包括控制氧化性气体的流动的阀、流量调节部等的供给机器组134。
此外,在本实施方式中,氧化性气体使用氧浓度高于通常的大气 的气体。其中,也可以不向处理容器130内供给特定的气体,使该处理容器130的内部为大气气氛,这样的情况下,可以省略上述气体供给口131、气体供给源132、气体供给管133、供给机器组134。
另外,在图示的例子中,气体供给口131设置于后述的第一热处理部140侧,但是,也可以设置于紫外线照射部142侧。这样的情况下,通过从气体供给口131供给氧化性气体发生降流,在如后所述通过紫外线照射处理除去晶片W上的有机膜的表面时,能够抑制从有机膜产生的升华物等附着于紫外线照射部142。
在处理容器130的底面形成有吸引该处理容器130的内部的气氛的吸气口135。在吸气口135,例如连接有与真空泵等的负压发生装置136连通的吸气管137。
在处理容器130的内部,设置有第一热处理部140、作为其他热处理部的第二热处理部141、紫外线照射部142。第一热处理部140和第二热处理部141在Y方向并列配置,紫外线照射部142配置于第二热处理部141的上方。
第一热处理部140对在涂布处理装置30~33中涂布于晶片W上的有机材料进行加热,在该晶片W上形成有机膜。
第一热处理部140具备:收纳热板150、并保持热板150的外周部的环状的保持部件151;和包围该保持部件151的外周的大致筒状的支撑环152。热板150形成为具有厚度的大致圆盘形状,能够载置并加热晶片W。另外,在热板150,例如内藏有加热机构153。加热机构153例如使用加热器。热板150的加热温度例如通过控制部200控制,将载置于热板150上的晶片W加热到规定的温度。
在热板150的下方设置有用于从下方支撑晶片W并使其升降的升降销160,例如设置3根。升降销160通过升降驱动部161能够上下运动。在热板150的中央部附近,例如在3个部位形成有在厚度方向贯通该热板150的贯通孔162。这样,升降销160能够插通贯通孔162,从热板150的上面突出。
第二热处理部141,在第一热处理部140中进行了热处理之后、通过紫外线照射部142进行紫外线照射处理时,加热晶片W上的有机膜。
第二热处理部141具有与第一热处理部140同样的构成。即,第 二热处理部141具有作为热处理板的热板170、保持部件171、支撑环172、加热机构173。另外,在热板170的下方设置升降销180、升降驱动部181,在热板170的中央部附近形成有贯通孔182。
紫外线照射部142照射例如172nm的波长的紫外线。并且,在第一热处理部140中进行了热处理之后,紫外线照射部142对晶片W上的有机膜进行紫外线照射处理。此外,图示的例子中,紫外线照射部142支撑设置于处理容器130的顶面,该紫外线照射部142也可以设置于在处理容器130的顶面设置的玻璃窗(无图示)上。这样的情况下,从紫外线照射部142照射的紫外线通过玻璃窗进入处理容器130的内部。
此外,在处理容器130的内部,在第一热处理部140和第二热处理部141之间设置搬送晶片W的搬送机构(无图示)。或者,也可以代替该搬送机构,通过晶片搬送装置20在第一热处理部140和第二热处理部141之间搬送晶片W。
另外,晶片处理装置41、42、50~52的构成与上述的晶片处理装置40的构成同样,因此省略说明。
在以上的成膜系统1中,如图1所示设置有控制部200。控制部200例如为计算机,具有程序存储部(无图示)。在程序存储部存储执行成膜系统1中的成膜处理的程序。此外,上述程序例如记录于计算机可读取的硬盘(HD)、软盘(FD)、光盘(CD)、磁光盘(MO)、存储卡等的计算机能够读取的存储介质H中,也可以从该存储介质H安装于控制部200。
本实施方式的成膜系统1如上所述构成。接着,对在该成膜系统1进行的形成有机膜的处理进行说明。图8表示以成膜系统1处理前的晶片W的状态,图9表示成膜处理的各工序中的晶片W的状态。
在成膜系统1处理的晶片W上,如图8所示,预先形成有SiO2膜等的规定的图案P。在晶片W上,图案P疏密地形成,在晶片W上形成有:不形成图案P的凹部、膜(图案P)覆盖晶片W的表面的第一区域A;和在图案P、P间形成凹部Q的第二区域B。即,第一区域A为所谓的毯状区域,第二区域B例如为形成有线与间隙(line and space)的图案P的区域。
首先,通过晶片搬送体12,从盒载置台10上的盒C取出晶片W,搬送到处理基座3的交接装置21。此后,晶片W通过晶片搬送装置20搬送到温度调节装置43,温度调节为规定的温度。
此后,晶片W通过晶片搬送装置20搬送到涂布处理装置30。搬入涂布处理装置30的晶片W从晶片搬送装置20交接到旋转卡盘110,被吸附保持。接着,通过臂121,使待机部124的涂布喷嘴122移动到晶片W的中心部的上方。此后,一边通过旋转卡盘110使晶片W旋转,一边从涂布喷嘴122向晶片W上供给有机材料。供给的有机材料利用离心力在晶片W的表面整个面扩散,在该晶片W上涂布有机材料(工序S1)。
此时,如图9(a)所示,由于在晶片W上涂布的有机材料L的表面张力、粘度,第二区域B的有机材料L(以下,称为“有机材料LB”。)比第一区域A的有机材料L(以下,称为“有机材料LA”。)凹入。即,有机材料LB的距图案P表面的高度HB1低于有机材料LA的距图案P表面的高度HA1。这样,在有机材料LA和有机材料LB之间产生阶梯差D1
此后,晶片W通过晶片搬送装置20被搬送到晶片处理装置40。此时,晶片处理装置40的内部维持为氧化性气体的常压气氛。搬入晶片处理装置40的晶片W首先被搬送到第一热处理部140,交接到预先上升待机的升降销160。接着,升降销160下降,晶片W载置于热板150上。接着,热板150上的晶片W被加热到规定的温度、例如300℃。晶片W被加热规定时间时,晶片W上的有机材料L被加热,如图9(b)所示,在晶片W上形成有机膜F(工序S2)。此外,在第一区域A的有机膜F(以下,有时称为“有机膜FA”。)和第二区域B的有机膜F(以下,有时称为“有机膜FB”。)之间,产生上述的阶梯差D1
此后,晶片W被搬送到第二热处理部141,交接到预先上升待机的升降销180。接着,升降销180下降,晶片W载置于热板170上。接着,热板170上的晶片W被加热到规定的温度、例如300℃。
另外,晶片W载置于热板170上时,从紫外线照射部142照射172nm的波长的紫外线。利用照射的紫外线,在处理容器130内的氧化性气体的处理气氛气中产生活性氧和臭氧。通过这些活性氧和臭氧, 分解除去有机膜F的表面(工序S3)。即,进行有机膜F的蚀刻。
这样,有机膜F的表面的除去通过一边利用热板170加热有机膜F一边从紫外线照射部142照射紫外线来进行。接着,如图9(c)所示,有机膜F的表面的除去是直至有机膜FA完全除去的规定深度,即除去高度HA1程度的有机膜F的表面。这样,图案P的表面露出,在第一区域A不存在有机膜FA,在第二区域B在图案P的凹部Q内残留高度HC1(=HA1-HB1)的有机膜FB
此外,通过紫外线照射部142进行紫外线处理时,通过加热有机膜F,能够以短时间高效地进行有机膜F的表面的除去。例如将常温(23℃)的有机膜F的表面除去100nm时,需要进行10分钟紫外线照射处理,相对于此,如本实施方式所示,一边以300℃加热有机膜F一边除去该有机膜F的表面100nm时,例如紫外线照射处理只进行30秒即可。
另外,从紫外线照射部142照射的紫外线的波长没有特别限定,如本实施方式所示,优选为172nm。紫外线的波长越短,则进行紫外线照射处理时能量越大,能够高效地除去有机膜F的表面,但是,该波长短的紫外线容易被存在于处理容器130内的物质吸收。因此,考虑除去有机膜F的表面的效率与被物质吸收的难度的平衡,紫外线的波长优选为172nm。
此后,晶片W通过晶片搬送装置20搬送到温度调节装置44,温度调节为规定的温度。
如上所述,顺次进行工序S1中的向晶片W上的有机材料L的涂布处理、工序S2中的晶片W上的有机材料L的加热处理、工序S3中的晶片W上的有机膜F的表面除去处理,在晶片W上形成有机膜F。并且,这些工序S1~S3进行多次,例如进行n次。此外,在各次的工序S3后进行温度调节装置43、44、53、54中的晶片W的温度调节,在以下省略说明。
接着,对第二次的工序S1~S3进行说明。此外,第二次的工序S1~S3为分别与第一次的工序S1~S3同样的工序,以下的说明中仅说明要点。
第二次的工序S1中,在涂布处理装置31中在晶片W上涂布有机 材料L。在该第二次的工序S1中,与第一次的工序S1相比,有机材料L以薄的膜厚涂布。具体而言,例如增大旋转卡盘110的转速或者减少在晶片W上供给的有机材料L的供给量等,使第二次的有机材料L的膜厚比第一次的有机材料L的膜厚薄。这样,如后述的图9(d)所示,第二次的有机膜FA、FB(有机材料LA、LB)的高度HA2、HB2小于第一次有机膜FA、FB的高度HA1、HB1
此后,第二次的工序S2中,在晶片处理装置41的第一热处理部140中加热晶片W上的有机材料L,如图9(d)所示,在晶片W上形成有机膜F。此时,在有机膜FA和有机膜FB之间产生阶梯差D2。但是,该阶梯差D2比上述的第一次阶梯差D1小,其小了第二次的工序S1中有机材料L的膜厚变薄的部分。
此后,第二次的工序S3中,一边在晶片处理装置41的第二热处理部141中加热晶片W上的有机膜F一边从紫外线照射部142照射紫外线,由此,如图9(e)所示,除去有机膜F的表面。有机膜F的表面的除去是直至有机膜FA完全除去,即除去高度HA2程度的有机膜F的表面。这样,在第一区域A不存在有机膜FA,在第二区域B在图案P的凹部Q内残留高度HC2(=HA1-HB1)的有机膜FB。此外,在第二次的工序S3后残留的有机膜FB的高度HC2大于在第一次工序S3后残留的有机膜FB的高度HC1。即,每重复工序S1~S3的次数,在图案P的凹部Q残留有机膜FB
与以上的第二次的工序S1~S3同样,进行第三次~第n次的工序S1~S3。这样,有机膜FA和有机膜FB之间的阶梯差D3~Dn变小,最终阶梯差Dn基本为0。这样,如图9(f)所示,有机膜FB的表面的高度和图案P的表面的高度变得相同。此外,阶梯差Dn可以不完全为0,只要处于所要求的规定范围即可。
此后,在涂布处理装置32中在晶片W上涂布规定的膜厚的有机材料L,在晶片处理装置42的第一热处理部140中加热晶片W上的有机材料L。这样操作,如图9(g)所示,在晶片W上形成具有规定的膜厚且表面平坦化的有机膜F。
此外,本实施方式中,在有机膜F的形成时,在最后进行工序S1和S2,涂布有机材料L,进行加热,结束,但是也可以进行工序S3, 除去有机膜F的表面并结束。以哪个工序结束,根据所要求的制品的规格确定即可。另外,除去有机膜F的表面并结束时,可以除去有机膜F的表面使得有机膜F的膜厚为规定的膜厚即可,也可以除去有机膜F的表面直至例如图9(g)所示的状态。
此后,晶片W通过晶片搬送装置20搬送到交接装置21,通过晶片搬送体12返回到盒C。这样操作,结束成膜系统1中的一系列成膜处理。
根据以上的实施方式,工序S3中,通过从紫外线照射部142照射紫外线,在处理气氛中产生活性氧和臭氧,通过这些活性氧和臭氧,能够除去晶片W上的有机膜F的表面。这样,通过重复进行工序S1~S3,能够使有机膜FA和有机膜FB之间的阶梯差Dn基本为0,使晶片W上的有机膜F的表面平坦化。其结果,能够在晶片W上形成各种膜厚(例如数十μm~数十nm)的有机膜F。
另外,工序S3中,从紫外线照射部142照射紫外线时,通过热板170加热有机膜F,能够以短时间高效地进行有机膜F的表面的除去。
另外,工序S3中,一边通过热板170加热有机膜F,一边通过从紫外线照射部142照射紫外线除去有机膜F的表面,因此,不会像进行以往的干式蚀刻法时那样损伤晶片W、或晶片W上的图案P、Low-K膜等的层间绝缘膜等,也不用担心图案P、层间绝缘膜等被改性。因此,能够在晶片W上适当地形成有机膜F。
另外,除去有机膜F的表面时,进行以往的干式蚀刻法时,表面除去的精度为数nm水平。相对于此,本发明的发明人进行深入研究,结果可知,如本实施方式这样在工序S3中进行紫外线照射处理时,能够使表面除去的精度为0.1nm水平。因此,根据本实施方式,能够提高有机膜F的表面除去的精度。
另外,在除去有机膜F的表面时,进行以往的干式蚀刻法时,受到微负载效应的影响,在图案P较疏地形成的部分,表面除去速度(蚀刻速率)大,在图案P较密地形成的部分,表面除去速度小。因此,无法均匀地除去有机膜F的表面,无法使该有机膜F的表面平坦化。相对于此,如本实施方式这样在工序S3中,在一样的处理气氛中进行紫外线照射处理,能够均匀地除去有机膜F的表面。因此,能够使有 机膜F的表面平坦化。
另外,根据本实施方式,能够将工序S1的有机材料L的涂布处理、工序S2的有机材料L的加热处理、和工序S3的有机膜F的表面的除去处理,全部在常压气氛下进行,能够在一个成膜系统1内进行这些工序。因此,与以往进行蚀刻法、以分别的系统进行常压气氛下的处理和真空气氛下的处理时相比,能够降低本实施方式的成膜系统1的制造成本,并且能够提高晶片处理的生产率。
另外,根据本实施方式,在工序S3中除去有机膜F的表面时,也能够除去图案P间的残渣(抗蚀剂残渣)。并且,也能够进行图案P(抗蚀图案)的再制作。
此外,以上的实施方式的工序S3中,有机膜F的表面的除去速度通过第二热处理部141中的热板170的加热温度来控制。例如提高加热温度时,有机膜F的表面的除去速度变大。
另外,有机膜F的表面的除去速度也能够通过处理气氛的氧浓度、紫外线的照度、紫外线的照射时间来控制。例如增大处理气氛的氧浓度时,处理气氛中产生的活性氧和臭氧增加,有机膜F的表面的除去速度变大。另外,增大紫外线的照度时,有机膜F的表面的除去速度变大。另外,增长紫外线的照射时间时,有机膜F的表面的除去速度变大。
以上的实施方式中,重复进行多少次工序S1~S3,例如能够根据所要求的有机膜F的膜厚、阶梯差Dn所要求的规定的范围等各种条件任意设定。
另外,以上的实施方式中,重复进行多次工序S1~S3,但在第一次的阶梯差D1处于所要求的规定范围内时,也可以仅进行1次这些工序S1~S3。
以上的实施方式中,在晶片处理装置40的内部分别设置第一热处理部140和第二热处理部141,但是也可以如图10所示,省略第一热处理部140,将第二热处理部141兼用作第一热处理部140。这样的情况下,在工序S2中通过热板170加热晶片W上的有机材料L。接着,在工序S3中,一边通过热板170加热有机膜F,一边从紫外线照射部142照射紫外线除去有机膜F的表面。
根据本实施方式,能够省略第一热处理部140,因此能够降低晶片处理装置40的制造成本,并且能够减小晶片处理装置40的专有面积。特别是在工序S2中的加热温度和工序S3中的加热温度相同时,能够将热板170的温度维持为一定,因此这种情况下本实施方式是有用的。
以上的实施方式中,第一热处理部140和第二热处理部141设置于一个晶片处理装置40内,但是也可以设置于分别的装置内。例如,如图11所示,在第三处理块G3,也可以配置具备第一热处理部140的热处理装置300、301、具备第二热处理部141和紫外线照射部142的晶片处理装置302、303、304。同样地,在第四处理块G4,也可以配置具备第一热处理部140的热处理装置310、311、具备第二热处理部141和紫外线照射部142的晶片处理装置312、313、314。此外,在图示的例子中,省略对晶片W的温度进行调节的温度调节装置。
如上所述,工序S3中的有机膜F的表面的除去速度例如通过第二热处理部141中的热板170的加热温度来控制。另外,重复进行多次工序S3时,伴随着重复次数,各次中的有机膜F的膜厚变小,有机膜FA和有机膜FB之间的阶梯差D变小,因此,有时使各次工序S3中的有机膜F的表面的除去速度变小。
因此,本实施方式中,具备多个晶片处理装置302~304、312~314,因此,能够将各晶片处理装置302~304、312~314中的热板170的加热温度设定为不同的温度。这样,本实施方式如上所述,也能够应用于各次的工序S3中的有机膜F的表面的除去速度不同的情况。即,不需要升降各热板170的加热温度,能够将各热板170的加热温度维持为一定,因此,能够进一步提高晶片处理的生产率。
以上的实施方式中,工序S3中的有机膜F的加热处理通过热板170进行,但是该有机膜F的加热处理方法不限定于此。
工序S3中的有机膜F的加热处理时,例如如图12所示,可以代替热板170使用保温件320。在晶片处理装置40的第二热处理部141,代替上述实施方式的热板170、保持部件171、支撑环172、加热机构173,设置载置保温件320的载置台321。在载置台321内,设置上述升降销180、升降驱动部181,在载置台321的上面形成贯通孔182。
保温件320能够保持晶片W并保温。保温件320使用热容量大的 材料、例如石英玻璃。另外,保温件320构成为通过移动机构(无图示)在第一热处理部140的热板150和第二热处理部141的载置台321上自由移动。此外,对于晶片处理装置40的其他构成,与上述实施方式的晶片处理装置40的构成同样,因此省略说明。
这样的情况下,工序S2中,用第一热处理部140的热板150将晶片W加热到规定的温度、例如300℃时,保温件320也被加热到相同温度。此后,晶片W以保持于保温件320的状态搬送到载置台321。这样,工序S3中,通过紫外线照射部142进行紫外线处理时,晶片W通过保温件320保持其温度。因此,在工序S3中,能够一边加热晶片W上的有机膜F一边从紫外线照射部142照射紫外线。根据本实施方式,能够得到与上述实施方式同样的效果。即,能够高效地除去有机膜F的表面。
另外,在工序S3中的有机膜F的加热处理时,也可以代替热板170,使用作为光源的LED(Light Emitting Diode:发光二极管)。例如,如图13所示,在晶片处理装置40的处理容器130的内部,设置载置晶片W的载置板330。载置板330构成为能够通过移动机构(无图示)在水平方向的Y方向移动。在载置板330的下方,例如设置3根用于从下方支撑晶片W使其升降的升降销331。升降销331能够通过升降驱动部332上下运动。在载置板330的中央部附近,例如在3个部位形成在厚度方向贯通该载置板330的贯通孔333。这样,升降销331能够插通贯通孔333,从载置板330的上面突出。
在载置板330的上方,一体设置有紫外线照射部340和第二热处理部341。这些紫外线照射部340和第二热处理部341构成为能够通过移动机构(无图示)在水平方向的Y方向移动。
紫外线照射部340与紫外线照射部142同样,照射172nm的波长的紫外线。
在第二热处理部341,多个LED350在Y方向并列配置成一列。LED350设置成比晶片W的径向的长度长。即,LED350能够对载置板330上的晶片W的径向全体发出照射光。
此外,本实施方式中,在成膜系统1可以另外设置具备第一热处理部140的热处理装置(无图示)。
这样的情况下,工序S3中,载置有晶片W的载置板330在Y方向负方向侧移动,并且紫外线照射部340和第二热处理部341在Y方向正方向侧移动。即,载置板330和紫外线照射部340相对接近地移动。此外,本实施方式中,使载置板330与紫外线照射部340和第二热处理部341一起移动,但是也可以使任一方移动。
这样,一边通过从第二热处理部341的LED350发出的照射光加热晶片W上的有机膜F,一边从紫外线照射部340照射紫外线。这样,与上述实施方式同样地除去有机膜F的表面。因此,根据本实施方式,能够得到与上述实施方式同样的效果。即,能够高效地除去有机膜F的表面。
此外,以上的实施方式的工序S3中,有机膜F的表面的除去速度能够通过第二热处理部341中的LED350的发光强度、LED350的数量、从LED350发出的照射光的波长等控制。
另外,如上所述,进行多次工序S3时,有时各次有机膜F的表面的除去速度减小。在这样的情况下,也能够通过适当选择或组合:控制LED350的发光强度、控制LED350的开关来控制其发光次数、以及控制从LED350发出的照射光的波长等的方法,来控制有机膜F的表面的除去速度。另外,由于LED350的反应速度快,因此,能够容易地控制有机膜F的加热温度的升温、降温,或者能够局部地控制有机膜F的加热温度的升温、降温。因此,能够更高效地除去有机膜F的表面。
此外,以上的实施方式中作为第二热处理部341中的光源使用LED350,但是也能够代替LED350,例如使用激光、卤灯等各种光源。
以上的实施方式中,成膜系统1也可以具有作为在晶片W上形成规定的有机膜F之后测定该有机膜F的膜厚的膜厚测定部的膜厚测定装置。膜厚测定装置可以设置在成膜系统1内例如叠层于交接装置21上。
如图14所示,膜厚测定装置400具有处理容器410。在处理容器410的晶片搬送装置20侧的侧面,形成晶片W的搬入搬出口(无图示),在该搬入搬出口设置开关窗(无图示)。
在处理容器410内的底面,设置载置晶片W的载置台420、和光 学式表面形状测定计421。载置台420例如能够在水平方向的二维方向移动。光学式表面形状测定计421例如具备对晶片W从斜方向照射光的光照射部422、检测从光照射部422照射的被晶片W反射的光的光检测部423、基于该光检测部423的受光信息算出晶片W上的有机膜F的膜厚的测定部424。膜厚测定装置400例如使用散射法测定有机膜F的膜厚,测定部424中,核对由光検出部423检测的晶片面内的光强度分布和预先存储的假想的光强度分布,求出对应于该核对的假想的光强度分布的有机膜F的膜厚,能够测定有机膜F的膜厚。
这样的情况下,膜厚测定装置400中,首先将晶片W载置于载置台420。接着,从光照射部422向晶片W照射光,通过光检测部423检测其反射光。这样,测定部424中,测定晶片W上的有机膜F的膜厚。该有机膜F的膜厚测定结果被输出到控制部200。
基于有机膜F的膜厚测定结果,在有机膜F的膜厚变为规定的膜厚、有机膜F平坦化、即有机膜F中的阶梯差D为规定的范围内的情况下,对以后的晶片W直接以该处理条件进行晶片处理。
另一方面,在有机膜F没有充分平坦化的情况下,修正工序S3中的处理条件。具体而言,例如修正第二热处理部141、341的加热温度。另外,修正来自紫外线照射部142、340的紫外线的照度、紫外线的照射时间等的处理条件。另外,修正工序S3的处理气氛的氧浓度。
根据本实施方式,能够以更适当的条件进行晶片处理,因此,能够在晶片W上更适当地形成有机膜F。
此外,以上的实施方式的工序S3中,一边加热有机膜F一边进行紫外线照射处理,但是,发明人深入研究的结果发现,仅进行紫外线照射处理,也能够适当除去有机膜F的表面。
以上,一边参照附图一边说明了本发明的优选实施方式,但是,本发明不限定于这些例子。本领域技术人员能够在请求保护的范围所记载的思想范围内,想到各种变形例或修正例,这些也当然属于本发明的技术范围。
符号说明
1 成膜系统
30~33 涂布处理装置
40~42、50~52 晶片处理装置
140 第一热处理部
141 第二热处理部
142 紫外线照射部
150 热板
170 热板
200 控制部
300、301、310、311 热处理装置
302~304、312~314 晶片处理装置
320 保温件
340 紫外线照射部
341 第二热处理部
350 LED
400 膜厚测定装置
A 第一区域
B 第二区域
D 阶梯差
F 有机膜
FA (第一区域A的)有机膜
FB (第二区域B的)有机膜
L 有机材料
LA (第一区域A的)有机材料
LB (第二区域B的)有机材料
P 图案
Q 凹部
W 晶片

Claims (15)

1.一种成膜方法,其在表面形成有图案的基板上形成有机膜,该成膜方法的特征在于,包括:
在基板上涂布有机材料的涂布处理工序;
此后,对所述有机材料进行热处理,在基板上形成有机膜的热处理工序;和
此后,对所述有机膜进行紫外线照射处理,将该有机膜的表面除去至规定的深度的紫外线照射工序,
将所述涂布处理工序、所述热处理工序和所述紫外线照射工序分别以所述涂布处理工序、所述热处理工序和所述紫外线照射工序的顺序进行多次,
至少在最后之前进行的所述紫外线照射工序中,除去所述有机膜的表面直至所述图案的表面露出。
2.如权利要求1所述的成膜方法,其特征在于:
所述紫外线照射工序中,一边对所述有机膜进行热处理,一边进行所述紫外线照射处理。
3.如权利要求2所述的成膜方法,其特征在于:
所述紫外线照射工序中的所述热处理是将基板载置于热处理板而进行的。
4.如权利要求3所述的成膜方法,其特征在于:
所述热处理板设置多个,以分别不同的温度进行所述紫外线照射工序中的所述热处理。
5.如权利要求2所述的成膜方法,其特征在于:
所述紫外线照射工序中的所述热处理通过来自光源的照射光而进行。
6.如权利要求1所述的成膜方法,其特征在于:
所述紫外线照射工序中,至少控制处理气氛的氧浓度、紫外线的照度或紫外线的照射时间。
7.如权利要求1所述的成膜方法,其特征在于:
在所述紫外线照射工序后,还具有测定所述有机膜的膜厚的膜厚测定工序,
基于所述膜厚测定工序中的测定结果,修正所述紫外线照射工序的处理条件。
8.一种成膜系统,其在表面形成有图案的基板上形成有机膜,该成膜系统的特征在于,具有:
在基板上涂布处理有机材料的涂布处理部;
对所述有机材料进行热处理,在基板上形成有机膜的热处理部;
对所述有机膜进行紫外线照射处理的紫外线照射部;和
控制部,控制所述涂布处理部、所述热处理部和所述紫外线照射部,使得所述涂布处理、所述热处理和所述紫外线照射处理以所述涂布处理、所述热处理和所述紫外线照射处理的顺序进行,在所述紫外线照射处理中将所述有机膜的表面除去至规定的深度,
所述控制部控制所述涂布处理部、所述热处理部和所述紫外线照射部,使得所述涂布处理、所述热处理和所述紫外线照射处理分别以所述涂布处理、所述热处理和所述紫外线照射处理的顺序进行多次,至少在最后之前进行的所述紫外线照射处理中,除去所述有机膜的表面直至所述图案的表面露出。
9.如权利要求8所述的成膜系统,其特征在于:
还具有在进行所述紫外线照射处理时对该有机膜进行热处理的其他热处理部。
10.如权利要求9所述的成膜系统,其特征在于:
所述其他热处理部具有载置基板并进行热处理的热处理板。
11.如权利要求10所述的成膜系统,其特征在于:
所述热处理板设置多个,以分别不同的温度进行热处理。
12.如权利要求9所述的成膜系统,其特征在于:
所述其他热处理部具有对所述有机膜照射照射光的光源。
13.如权利要求8所述的成膜系统,其特征在于:
所述热处理部和所述紫外线照射部设置于同一装置内,
在该装置内,设置有在所述热处理部和所述紫外线照射部之间自由移动且保持基板并保温的保温件。
14.如权利要求8所述的成膜系统,其特征在于:
所述控制部在所述紫外线照射处理中至少控制处理气氛的氧浓度、紫外线的照度或紫外线的照射时间。
15.如权利要求8所述的成膜系统,其特征在于:
还具有测定进行了所述紫外线照射处理的所述有机膜的膜厚的膜厚测定部,
所述控制部基于所述膜厚测定部得到的测定结果,修正所述紫外线照射处理的处理条件。
CN201480009698.1A 2013-02-22 2014-01-23 成膜方法和成膜系统 Active CN105074883B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2013033216A JP5934665B2 (ja) 2013-02-22 2013-02-22 成膜方法、プログラム、コンピュータ記憶媒体及び成膜システム
JP2013-033216 2013-02-22
PCT/JP2014/051361 WO2014129259A1 (ja) 2013-02-22 2014-01-23 成膜方法、コンピュータ記憶媒体及び成膜システム

Publications (2)

Publication Number Publication Date
CN105074883A CN105074883A (zh) 2015-11-18
CN105074883B true CN105074883B (zh) 2017-09-08

Family

ID=51391055

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480009698.1A Active CN105074883B (zh) 2013-02-22 2014-01-23 成膜方法和成膜系统

Country Status (6)

Country Link
US (1) US9741559B2 (zh)
JP (1) JP5934665B2 (zh)
KR (1) KR101959108B1 (zh)
CN (1) CN105074883B (zh)
TW (2) TWI565533B (zh)
WO (1) WO2014129259A1 (zh)

Families Citing this family (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN105499069B (zh) * 2014-10-10 2019-03-08 住友重机械工业株式会社 膜形成装置及膜形成方法
JP6254516B2 (ja) 2014-12-19 2017-12-27 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6277952B2 (ja) 2014-12-26 2018-02-14 東京エレクトロン株式会社 基板処理方法、記憶媒体及び加熱装置
KR102310120B1 (ko) 2015-01-30 2021-10-08 삼성전자주식회사 하드마스크 물질막의 형성 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN115101447A (zh) * 2015-06-02 2022-09-23 东京毅力科创株式会社 用于旋涂碳平坦化的技术
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6596257B2 (ja) * 2015-08-03 2019-10-23 東京応化工業株式会社 紫外線照射装置及び紫外線照射方法
JP6518548B2 (ja) * 2015-08-10 2019-05-22 東京応化工業株式会社 紫外線照射装置、レジストパターン形成装置、紫外線照射方法及びレジストパターン形成方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10964606B2 (en) * 2017-02-07 2021-03-30 Tokyo Electron Limited Film forming system, film forming method, and computer storage medium
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP7001374B2 (ja) 2017-06-19 2022-02-04 東京エレクトロン株式会社 成膜方法、記憶媒体及び成膜システム
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
KR20190015666A (ko) * 2017-08-04 2019-02-14 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR102200759B1 (ko) * 2019-06-24 2021-01-12 세메스 주식회사 기판 처리 장치 및 방법
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
CN111644344B (zh) * 2020-05-23 2021-09-03 东南大学 一种大功率紫外杀菌灯用石英玻璃管保护膜涂覆系统
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7014521B1 (en) * 1999-08-05 2006-03-21 Canon Kabushiki Kaisha Display panel having a color filter and a protective layer of heat melted material and method of manufacturing the display panel

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61194830A (ja) * 1985-02-25 1986-08-29 Dainippon Screen Mfg Co Ltd 基板の有機物除去装置
JPH03246942A (ja) 1990-02-23 1991-11-05 Seiko Epson Corp 半導体基板の製造方法
JPH06267909A (ja) * 1993-03-10 1994-09-22 Hitachi Ltd 有機物除去装置
JPH08136910A (ja) * 1994-11-07 1996-05-31 Hitachi Ltd カラー液晶表示装置およびその製造方法
JP2000241819A (ja) 1998-12-25 2000-09-08 Internatl Business Mach Corp <Ibm> 紫外線による有機分子除去装置及び有機高分子膜の除去方法
KR100701718B1 (ko) * 1999-09-14 2007-03-29 동경 엘렉트론 주식회사 기판처리방법
TW516116B (en) * 2002-01-16 2003-01-01 Taiwan Semiconductor Mfg Backside grinding method for bumped wafer
JP2003218116A (ja) 2002-01-23 2003-07-31 New Japan Radio Co Ltd 半導体装置及びその製造方法
JP4348320B2 (ja) * 2005-06-16 2009-10-21 日本電信電話株式会社 配線構造の製造方法
JP2009094218A (ja) * 2007-10-05 2009-04-30 Dainippon Printing Co Ltd 被加工物の平坦化方法
JP2009164519A (ja) 2008-01-10 2009-07-23 Shimadzu Corp 低温ポリシリコン用保護膜の成膜方法、低温ポリシリコン用保護膜の成膜装置および低温ポリシリコンtft
US8584612B2 (en) * 2009-12-17 2013-11-19 Lam Research Corporation UV lamp assembly of degas chamber having rotary shutters
CN103262654A (zh) * 2010-12-17 2013-08-21 龙云株式会社 图案化方法
EP2693241A4 (en) 2011-03-28 2014-08-27 Jx Nippon Oil & Energy Corp METHOD FOR MANUFACTURING SUBSTRATE WITH TEXTURED STRUCTURE, AND METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENTS USING THE SAME
WO2012165263A1 (ja) 2011-06-03 2012-12-06 東京エレクトロン株式会社 ゲート絶縁膜の形成方法およびゲート絶縁膜の形成装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7014521B1 (en) * 1999-08-05 2006-03-21 Canon Kabushiki Kaisha Display panel having a color filter and a protective layer of heat melted material and method of manufacturing the display panel

Also Published As

Publication number Publication date
KR20150124950A (ko) 2015-11-06
KR101959108B1 (ko) 2019-03-15
CN105074883A (zh) 2015-11-18
TW201440900A (zh) 2014-11-01
JP5934665B2 (ja) 2016-06-15
TWI565533B (zh) 2017-01-11
JP2014165252A (ja) 2014-09-08
US9741559B2 (en) 2017-08-22
US20150357188A1 (en) 2015-12-10
TWI598703B (zh) 2017-09-11
TW201708982A (zh) 2017-03-01
WO2014129259A1 (ja) 2014-08-28

Similar Documents

Publication Publication Date Title
CN105074883B (zh) 成膜方法和成膜系统
US11443964B2 (en) Substrate processing apparatus and substrate processing system
TWI627489B (zh) Substrate processing method, program, computer memory medium and substrate processing system
KR101877183B1 (ko) 기판 처리 방법
JP4438008B2 (ja) 基板処理装置
US10832925B2 (en) Thermal processing device, substrate processing apparatus, thermal processing method and substrate processing method
KR102301798B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP4531661B2 (ja) 基板の処理方法及び基板の処理装置
KR20150016887A (ko) 자외선 조사 장치 및 기판 처리 방법
JP2006332198A (ja) 基板処理装置および基板乾燥方法
TW202138066A (zh) 基板處理方法以及基板處理裝置
US20120225389A1 (en) Substrate treatment method
JP2013247159A (ja) パターン形成方法、パターン形成装置、及びコンピュータ可読記憶媒体
JP2009076869A (ja) 基板の処理方法、プログラム及びコンピュータ記憶媒体
US10964606B2 (en) Film forming system, film forming method, and computer storage medium
CN109148270A (zh) 成膜方法、存储介质和成膜系统
TW201903901A (zh) 絕緣膜之成膜方法、絕緣膜之成膜裝置及基板處理系統
JP6149139B2 (ja) 成膜方法、プログラム、コンピュータ記憶媒体及び成膜システム
JP2014063908A (ja) 基板処理システム
JP2021068718A (ja) 基板処理システム、基板処理装置及び基板処理方法
JP6673432B2 (ja) 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
WO2020100633A1 (ja) 基板処理方法及び基板処理装置
JP2021047247A (ja) 基板処理方法および基板処理装置
TW202242566A (zh) 基板處理方法及基板處理裝置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant