CN104867824A - 具有变化栅极结构的集成电路及其制法 - Google Patents

具有变化栅极结构的集成电路及其制法 Download PDF

Info

Publication number
CN104867824A
CN104867824A CN201510086610.2A CN201510086610A CN104867824A CN 104867824 A CN104867824 A CN 104867824A CN 201510086610 A CN201510086610 A CN 201510086610A CN 104867824 A CN104867824 A CN 104867824A
Authority
CN
China
Prior art keywords
area
thickness
effect transistor
substrat
threshold voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510086610.2A
Other languages
English (en)
Other versions
CN104867824B (zh
Inventor
M·乔希
M·埃勒
R·J·卡特
S·B·萨玛瓦丹姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN104867824A publication Critical patent/CN104867824A/zh
Application granted granted Critical
Publication of CN104867824B publication Critical patent/CN104867824B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明提供一种具有变化栅极结构的集成电路及其制法。该集成电路包括:设于衬底结构上方的变化栅极结构,该变化栅极结构包括位于该衬底结构的第一区域中的第一栅极堆叠,以及位于该衬底结构的第二区域中的第二栅极堆叠;位于该第一区域中的第一场效应晶体管,该第一场效应晶体管包括该第一栅极堆叠并具有第一阈值电压;以及位于该第二区域中的第二场效应晶体管,该第二场效应晶体管包括该第二栅极堆叠并具有第二阈值电压,其中,该第一阈值电压不同于该第二阈值电压。该方法包括设置该变化栅极结构,该设置包括:设定该变化栅极结构的层的尺寸,使其在不同区域中具有不同厚度。

Description

具有变化栅极结构的集成电路及其制法
技术领域
本发明涉及半导体装置以及制造半导体装置的方法,尤其涉及集成电路以及制造具有变化栅极结构的集成电路的方法。
背景技术
不同的半导体装置可经制造而具有一个或多个不同的装置特征,例如阈值电压、开关速度、泄露功耗等。针对意图执行特定功能的装置,多种不同的设计可分别优化这些特征的其中一个或多个。例如,对于提供计算逻辑功能的装置,一种设计可具有降低的阈值电压以增加开关速度,而对于提供内存存储功能的装置,另一种设计可具有增加的阈值电压以降低功耗。使用针对不同功能分别优化的多个分立装置的系统将导致系统复杂性更高、系统占用面积增大以及系统成本增加。
发明内容
为克服现有技术的缺点并提供额外的优点,在一个态样中提供一种集成电路。该集成电路包括:设于衬底结构上方的变化栅极结构,该变化栅极结构具有位于该衬底结构的第一区域中的第一栅极堆叠,以及位于该衬底结构的第二区域中的第二栅极堆叠;位于该衬底结构的该第一区域中的第一场效应晶体管,该第一场效应晶体管包括该变化栅极结构的该第一栅极堆叠并具有第一阈值电压;以及位于该衬底结构的该第二区域中的第二场效应晶体管,该第二场效应晶体管包括该变化栅极结构的该第二栅极堆叠并具有第二阈值电压,其中,该第一阈值电压不同于该第二阈值电压。
在另一个态样中,这里提供一种制造集成电路的方法。该方法包括设置变化栅极结构,该变化栅极结构设于衬底结构上方,该变化栅极结构具有位于该衬底结构的第一区域中的第一栅极堆叠以及位于该衬底结构的第二区域中的第二栅极堆叠,且该设置包括:设定该变化栅极结构的一层的尺寸,使其在该衬底结构的该第一区域中具有第一厚度并在该衬底结构的该第二区域中具有第二厚度;以及设定该变化栅极结构的另一层的尺寸,使其在该衬底结构的该第一区域中具有第三厚度并在该衬底结构的该第二区域中具有第四厚度,其中,该第一厚度不同于该第二厚度,且该第三厚度不同于该第四厚度。
通过本发明的技术实现额外的特征及优点。这里详细说明本发明的其它实施例及态样,作为请求保护的本发明的一部分。
附图说明
本发明的一个或多个态样被特别指出并在说明书的结束处的声明中被明确称为示例。结合附图参照下面的详细说明可清楚本发明的上述及其它目的、特征以及优点,其中:
图1A显示依据本发明的一个或多个态样在电路制造期间所获得的中间电路结构的一个实施例的平面视图以及设于衬底结构上方的变化栅极结构;
图1B显示依据本发明的一个或多个态样的集成电路的一个实施例的立体图;
图2A及2B显示依据本发明的一个或多个态样的图1A的结构的剖视图,并显示该变化栅极结构具有第一及第二栅极堆叠;
图3显示依据本发明的一个或多个态样在该衬底结构的第一区域上方设置保护掩膜以后图2A及2B的结构;
图4A及4B显示依据本发明的一个或多个态样从该衬底结构的第二区域至少部分地移除材料以后图3的结构;
图5A及5B显示依据本发明的一个或多个态样设定该变化栅极结构的一层的尺寸以后图4A及4B的结构;
图6A及6B显示依据本发明的一个或多个态样在该衬底结构上方至少部分地沉积另一层的另一材料以后图5A及5B的结构;
图7显示依据本发明的一个或多个态样在该衬底结构的该第二区域上方设置保护掩膜以后图6A及6B的结构;
图8A及8B显示依据本发明的一个或多个态样从该衬底结构的该第一区域至少部分地移除该另一材料以后图7的结构;
图9A及9B显示依据本发明的一个或多个态样设定该变化栅极结构的该另一层的尺寸以后图8A及8B的结构;
图10显示依据本发明的一个或多个态样在该衬底结构的第三区域上方设置保护掩膜以后图9A及9B的结构;
图11A显示依据本发明的一个或多个态样斜切该衬底结构的第四区域中的该变化栅极结构的该另一层以后图10的结构;
图11B显示依据本发明的一个或多个态样设定该衬底结构的第三区域中的该变化栅极结构的该另一层的尺寸以后图10的结构;
图12A及12B显示依据本发明的一个或多个态样在该衬底结构上方设置另一层以后图11A及11B的结构;以及
图13A及13B显示依据本发明的一个或多个态样使用另一材料填充该变化栅极结构以后图12A及12B的结构。
具体实施方式
通过参照附图中所示的非限制例子来更加充分地解释本发明的态样及其特定的特征、优点以及细节。省略对已知材料、制造工具、制程技术等的说明,以免在细节上不必要地模糊本发明。不过,应当理解,用以说明本发明态样的详细说明及具体例子仅作为示例,而非限制。本领域的技术人员将会从本揭露中了解在基础的发明概念的精神和/或范围内的各种替代、修改、添加和/或布局。
本揭露部分提供集成电路,包括具有变化栅极结构以及多个不同的阈值电压的场效应晶体管(field-effect transistor;FET)。在集成电路制造期间,可能想要通过单个制程在集成电路的整个衬底的所选区域上方设置一个或多个栅极结构来形成众多FET的众多栅极。例如,在整个衬底的所选区域上方可设置具有一致的材料层堆叠的层状栅极结构,以形成众多FET的众多栅极。这里所使用的变化栅极结构是指在集成电路的不同区域中具有多个不同的层堆叠或者多个不同的栅极堆叠的栅极结构,这些不同的层堆叠或不同的栅极堆叠可具有不同组成或尺寸。在一个例子中,这样一个变化栅极结构可在相同或不同区域中具有不同厚度的不同材料层。在另一例子中,这样一个变化栅极结构可在不同区域中具有不同数量的材料层。通过使用这里所述的变化栅极结构,在单个制程中可形成具有不同栅极堆叠的众多栅极。
在一个FET中,阈值电压是使电流能够从源极经该FET的沟道流至漏极所需的最小栅极电压。一般来说,在控制其它因素的情况下,具有较低阈值电压的FET比具有较高阈值电压的FET运行更快,但消耗更多泄露功率。
当设计用于例如手机或媒体播放器的特定应用的集成电路(其包括例如片上系统)时,可能想要通过以具有不同阈值电压的FET实施的集成电路的不同部分来最优化该集成电路的泄露功耗以及速度。例如,可能想要以较高的速度执行逻辑或算术功能以支持高级特征,而以较低的速度执行内存存储以节约功率。在另一个例子中,甚至在集成电路的单个逻辑子系统中,可能想要最优化特定FET的速度并最优化其它FET的功耗。
另外,由于目前的集成电路设计依赖于使用n型FET(NFET)及p型FET(PFET)的互补金属氧化物半导体(complementary metal oxidesemiconductor;CMOS)技术,因此想要获得结合NFET及PFET与多个阈值电压的集成电路。
一般来说,在一个态样中,这里提供一种集成电路。该集成电路包括:设于衬底结构上方的变化栅极结构,该变化栅极结构具有位于该衬底结构的第一区域中的第一栅极堆叠,以及位于该衬底结构的第二区域中的第二栅极堆叠;位于该衬底结构的该第一区域中的第一场效应晶体管,该第一场效应晶体管包括该变化栅极结构的该第一栅极堆叠并具有第一阈值电压;以及位于该衬底结构的该第二区域中的第二场效应晶体管,该第二场效应晶体管包括该变化栅极结构的该第二栅极堆叠并具有第二阈值电压,其中,该第一阈值电压不同于该第二阈值电压。在一个实施例中,该变化栅极结构的部分自该第一场效应晶体管延伸至该第二场效应晶体管。在另一个例子中,该第一阈值电压可介于超出该第二阈值电压80至120毫伏之间。在又一个例子中,该变化栅极结构的一层包括功函数层,该变化栅极结构的另一层包括覆盖层,且该变化栅极结构还包括设于该覆盖层下方的栅极介电层。
在一个实施例中,该变化栅极结构可包括一层及另一层,该一层在该衬底结构的该第一区域中具有第一厚度并在该衬底结构的该第二区域中具有第二厚度,且该另一层在该衬底结构的该第一区域中具有第三厚度并在该衬底结构的该第二区域中具有第四厚度,其中,该第一厚度不同于该第二厚度,且该第三厚度不同于该第四厚度。在这样一种情况下,该第一厚度小于该第二厚度,且该第三厚度大于该第四厚度。
在另一个实施例中,该衬底结构可包括在该衬底结构的该第一区域上方延伸的第一鳍片以及在该衬底结构的该第二区域上方延伸的第二鳍片,且该变化栅极结构可共形设于该第一鳍片及该第二鳍片上方。在这样一种情况下,该第一鳍片与该第二鳍片可为同一鳍片。
这里还提供一种制造集成电路的方法。该方法包括设置变化栅极结构,该变化栅极结构设于衬底结构上方,该变化栅极结构具有位于该衬底结构的第一区域中的第一栅极堆叠以及位于该衬底结构的第二区域中的第二栅极堆叠,且该设置包括:设定该变化栅极结构的一层的尺寸,使其在该衬底结构的该第一区域中具有第一厚度并在该衬底结构的该第二区域中具有第二厚度;以及设定该变化栅极结构的另一层的尺寸,使其在该衬底结构的该第一区域中具有第三厚度并在该衬底结构的该第二区域中具有第四厚度,其中,该第一厚度不同于该第二厚度,且该第三厚度不同于该第四厚度。
在一个例子中,该集成电路包括位于该衬底结构的该第一区域中的第一场效应晶体管,以及位于该衬底结构的该第二区域中的第二场效应晶体管,该第一场效应晶体管包括该第一栅极堆叠并具有第一阈值电压,且该第二场效应晶体管包括该第二栅极堆叠并具有第二阈值电压,其中,该第一阈值电压不同于该第二阈值电压。在另一个实施例中,该衬底结构的第三区域包括该第一区域的一部分以及该第二区域的另一部分,且该设置还包括,在设定该变化栅极结构的该一层的尺寸以后,重新设定位于该衬底结构的该第三区域中的该一层的尺寸,使其具有第五厚度。
在一个实施例中,设定该变化栅极结构的该一层的尺寸可包括:在该衬底结构的该第一区域及该第二区域上方至少部分地沉积该一层的材料;从该衬底结构的该第一区域至少部分地移除该材料;以及在该衬底结构的该第一区域及该第二区域上方至少部分地再次沉积该材料,以在该第一区域中形成该一层的该第一厚度,并在该第二区域中形成该一层的该第二厚度。
在另一个实施例中,该衬底结构包括在该衬底结构的该第一区域及该第二区域上方延伸的一个或多个鳍片,且设置该变化栅极结构包括在该一个或多个鳍片上方共形设置该变化栅极结构。在这样一种情况下,该集成电路可包括位于该衬底结构的该第一区域中的第一场效应晶体管以及位于该衬底结构的该第二区域中的第二场效应晶体管,该第一场效应晶体管包括该第一栅极堆叠以及该一个或多个鳍片中的第一鳍片,并具有第一阈值电压,且该第二场效应晶体管包括该第二栅极堆叠以及该一个或多个鳍片中的第二鳍片,并具有第二阈值电压,其中,该第一阈值电压不同于该第二阈值电压。
下面参照附图。为方便理解,这些附图并非按比例绘制。其中,不同附图中所使用的相同附图标记表示相同或类似的组件。
图1A显示依据本发明的一个或多个态样在电路制造期间所获得的中间电路结构100的一个实施例。在一个例子中,如图所示,栅极结构120设于衬底结构上方。如图所示,在一个例子中,该衬底结构可包括衬底102以及一个或多个鳍片110,以支持鳍式场效应晶体管(FinFET)以及平面FET的形成。
栅极结构120可共形延伸于一个或多个鳍片110上方(并部分包覆该一个或多个鳍片)。在一个例子中,可采用替代栅极制程,其中,可在电路制造的早期阶段设置由适当材料例如多晶硅构成的牺牲栅极,接着将该牺牲栅极移除并由最终的栅极结构替代。在另一个例子中,可直接形成该栅极结构而不使用牺牲栅极。在上述的任意一种栅极制程中,该栅极结构都可在形成场效应晶体管的源区及漏区以前(先栅极)或以后(后栅极)形成,取决于所选择的流程。
衬底102(在一个例子中)可为块体半导体材料,例如块体硅晶圆。在另一个例子中,衬底102可为或包括任意含硅衬底,其包括但不限于单晶硅、多晶硅、非晶硅、悬空硅(Si-on-nothing;SON)、绝缘体上硅(Si-on-insulator;SOI)或替代绝缘体上硅(Si-on-replacementinsulator;SRI)衬底等,且可针对特定应用而经n型掺杂或p型掺杂。在一个例子中,衬底102可为例如约600至700微米厚或更薄的晶圆或衬底。
鳍片110可自衬底102延伸,且可包括位于第一区域131中的一个或多个鳍片以及位于第二区域132中的一个或多个鳍片。例如,为形成鳍片,可移除衬底的一个或多个部分,从而形成材料与衬底相同(例如半导体或结晶材料)的鳍片。在一个例子中,鳍片的形成可通过使用任意各种方法图案化衬底来实现,包括:直接光刻;侧壁图像转移技术;极紫外光刻(extreme ultraviolet lithography;EUV);电子束技术;光刻-蚀刻光刻-蚀刻;或光刻-蚀刻光刻-冻结。图案化以后可执行材料移除,例如通过任意合适的蚀刻制程,例如非等向性干式蚀刻制程,例如六氟化硫(SF6)中的反应离子蚀刻(reactive-ion-etching;RIE)所执行。尽管下述数字是相对的且高度可变化,但作为一个具体例子,鳍片可具有约40纳米的高度以及约1微米、几微米或整个晶圆的直径的长度,且鳍片的厚度可为约10纳米或更小。在另一个例子中,鳍片可形成于衬底上,且鳍片与衬底可为不同材料。
请参照图1B,其显示具有两个场效应晶体管(FET)的集成电路100’的一个实施例,以提供有关本发明的总体环境。如图所示,栅极结构120’的部分可自区域133中的第一FET延伸至区域134中的第二FET,且每个FET可包括两个鳍片110。在一个典型的集成电路中,数千个、数百万个或更多的FET可互连。在互补金属氧化物半导体(CMOS)技术中,例如通过共用共同的栅极结构或者可通过金属接触(未图示)连接,n型FET(NFET)可与p型FET(PFET)互连。各FET可包括例如任意数目的鳍片,取决于想要的电路设计,因为具有更多的鳍片可使FET能够从源极112向漏极114传输更大的电流。例如,如图所示的各FET具有两个鳍片。在给定的集成电路中,可具有各种FET,这些FET具有数目变化的鳍片分布于该集成电路中。
出于上述原因,可能想要使集成电路的不同FET具有不同的阈值电压。这里所揭露的在不同区域中具有不同栅极堆叠的变化栅极结构允许针对那些不同区域中的FET选择不同的阈值电压。另外,由于NFET与PFET的不同电性特征,因此该变化栅极结构允许针对NFET及PFET选择相同或相似的阈值电压,该NFET及PFET包括通过该变化栅极结构的部分直接连接的NFET及PFET。在一些情况中,可能要求6个或更多不同的阈值电压。例如,CMOS集成电路可能需要一对常规阈值电压、一对低阈值电压以及一对超低阈值电压,每一对为各NFET及PFET提供阈值电压。
栅极结构120’可例如通过使用这里所揭露的技术形成,且可在区域133中具有一个栅极堆叠并在区域134中具有一个不同的栅极堆叠,从而允许针对那些不同区域中的FET选择不同的阈值电压。在另一个例子中,在区域133及区域134中具有不同栅极堆叠的变化栅极结构允许针对区域133中的NFET以及区域134中的PFET选择相同(或相似)的阈值电压。
图2A显示图1A的结构沿图1A中的线2A-2A的剖视图以及在鳍片110上方延伸的变化栅极结构120。如图所示,在这个例子中,栅极结构120处于制造的中间阶段。通过例如包含经沉积以形成各层的材料,间隙壁112可帮助多个层的形成。在一个例子中,栅极结构可包括介电层122、覆盖层124以及另一覆盖层126。另外要注意的是,在衬底与介电层122之间可设置界面层121。
通过使用一系列可保护衬底结构的一些区域并暴露衬底结构的区域的保护掩膜,可形成变化栅极结构,而非一致的栅极结构,该变化栅极结构具有多个不同的栅极堆叠,例如在多个不同区域中具有变化厚度的材料层,从而支持具有多个不同的阈值电压的FET。由于FET的阈值电压受位于FET的沟道与向栅极施加栅极电压的栅极接触之间的栅极的电性属性影响,因此该变化栅极结构可支持多个不同的阈值电压。通过设定该变化栅极结构的尺寸或调节该变化栅极结构以使其在不同区域中具有不同的栅极堆叠,可获得不同的阈值电压,因为在FET中,阈值电压与栅极电压如何影响位于栅极下方的沟道中的载流子的行为直接相关。
在一个例子中,介电层122可为包括硅的材料,例如二氧化硅或氮氧化硅。在另一个例子中,介电层122可为介电常数大于例如约3.9(二氧化硅的介电常数)的高介电常数(高k)材料,包括但不限于氧化铪、氧化钽、氧化锆、氧化钛或氧化铝的其中一种或多种。在一个具体例子中,介电层122可为具有约15埃()的厚度的高k材料。界面层121可帮助介电层122的形成,且可为例如下方衬底的化学氧化物,例如二氧化硅,其具有约的厚度。
可包括覆盖层124以在各种制程步骤期间保护介电层122免受损伤。在一个例子中,覆盖层124可为或包括氮化钛(TiN)或氮化钽(TaN),且可具有约在之间的厚度,以及在一个具体例子中,可为具有的厚度的TiN层。
各介电层122、覆盖层124以及覆盖层126可使用任意各种沉积制程来沉积,包括例如物理气相沉积(physical vapor deposition;PVD)、原子层沉积(atomic layer deposition;ALD)、化学气相沉积(chemicalvapor desposition;CVD)、溅镀或其它制程,取决于该层的材料组成。该沉积可顺应衬底结构,包括包覆鳍片110。
图2B显示图1的结构沿图1的线2B-2B的剖视图。在这里所揭露的制造方法的一个实施例中,在如图2A及2B所示的制造阶段,栅极结构120可包括在第一区域131及第二区域132中具有相似尺寸的相似层。在另一个例子中,这些层的尺寸及组成可在如图所示的这个制造阶段已经变化。
图3至5B显示用以设定栅极结构120的一层的尺寸的制程的一个实施例。在这组例子中,栅极结构120的覆盖层可经尺寸设定而在衬底结构的不同区域中具有不同的厚度。通常,覆盖层可用以保护下方的介电层,且其通常不被视为会影响阈值电压。不过,如这里所揭露的那样,改变覆盖层的尺寸可改变该变化栅极结构的其它层的位置,从而改变该变化栅极结构的电性属性。层中的这样一个位置改变以及电性属性的改变可导致不同的阈值电压。
在如图3至5B所示的制程中,使用加成及减成制程来设定覆盖层的尺寸。这样一个制程可能优于仅加成的制程,因为用于向覆盖层添加材料的沉积制程可能与所需的掩膜技术不兼容。不过,如果可使用适当的掩膜技术,则可使用仅加成的制程来设定覆盖层的尺寸。
图3显示在图2A及2B的结构在衬底结构的第一区域131上方设置保护掩膜141并暴露第二区域132之後的结构,其中该第二区域132被暴露以用於执行进一步参照图4A及4B进行揭露的制程步骤。保护掩膜141可设于整个电路结构100的上方,接着使用标准光刻制程进行图案化,以暴露第二区域132并保护第一区域131。图3显示较大的集成电路的一部分,且第一区域131可为不连续的区域,其具有被保护掩膜141保护的众多部分,取决于正在制造的具体集成电路。
在一个例子中,保护掩膜141可包括例如氮化硅、氧化硅或氮氧化硅等材料,并可使用传统的沉积制程沉积,例如CVD或等离子增强型CVD(plasma-enhanced CVD;PECVD)。在其它例子中,依据电路100中所使用的材料可使用其它掩膜材料。例如,保护掩膜141可为或包括有机材料。例如,通过流动式化学气相沉积(flowable chemicalvapor desposition;F-CVD)可沉积可流动氧化物,例如氢倍半硅氧烷聚合物或无碳倍半硅氧烷聚合物。在另一个例子中,保护掩膜141可为或包括有机聚合物,例如聚丙烯酸酯树脂、环氧树脂、酚醛树脂、聚酰胺树脂、聚酰亚胺树脂、不饱和聚酯树脂、聚苯醚树脂、聚苯硫醚树脂或苯并环丁烯(BCB)。
图4A显示图3的结构沿图3的线4A-4A的剖视图,且图4B显示图3的结构沿图3的线4B-4B的剖视图。如图所示,在一个例子中,设定覆盖层126的尺寸的制程包括自衬底结构的第二区域132移除覆盖层126的材料,并保持第一区域131中的覆盖层126不变。在另一个例子中,可部分移除材料,以降低第二区域132中的覆盖层126的厚度。
移除覆盖层126可通过任意适当的蚀刻制程实现,例如干式或湿式蚀刻制程。在一个例子中,通过例如离子束蚀刻、等离子蚀刻或等向性RIE,可使用等向性干式蚀刻。在另一个例子中,通过使用对覆盖层126的材料具有选择性的蚀刻溶液,也可执行等向性湿式蚀刻。保护掩膜141确保在这样的移除制程期间不会自第一区域131移除覆盖层126的材料。
图5A及5B显示图4A及4B的结构在移除该保护掩膜并在衬底结构的第一区域131及第二区域132上方沉积覆盖层126的材料以后的结构。在一个例子中,如图所示,沉积该材料使覆盖层126在第一区域131中具有第一厚度T1,并在第二区域132中具有第二厚度T2。如图所示,T1可大于T2;但在另一个例子中,T2可大于T1。该材料可通过各种沉积制程而沉积,包括例如物理气相沉积(PVD)、原子层沉积(ALD)或化学气相沉积(CVD)。例如,覆盖层126可为或包括TiN或TaN,并具有介于之间的厚度。在一个具体例子中,覆盖层126可为TaN,并在第一区域131中具有介于之间的厚度T1,且在第二区域132中具有的厚度T2
移除该保护掩膜可通过使用任意适当的制程实现,例如化学-机械抛光(chemical-mechanical polishing;CMP)或蚀刻,取决于该保护掩膜的化学性质。
图6A至9B显示设定(或改变)栅极结构120的另一层的尺寸的例子。在这些例子中,变化栅极结构120的功函数层可经尺寸设定以在衬底结构的不同区域中具有不同的厚度,从而在这些不同区域中实现不同的栅极堆叠。对于一导体,功函数是描述自该导体移除电子所需的最小能量的电性属性。因此,栅极结构的功函数层是直接影响阈值电压的材料层,因为它影响电子从栅极堆叠流向栅极接触所需的能量大小,从而影响可用于吸引栅极下方的沟道中的载流子的能量大小。另外,设定覆盖层的尺寸的上述制程可影响随后形成的功函数层相对于下方沟道的垂直位置。这些不同因素一起作用以形成定义不同阈值电压的变化栅极结构。
图6A及6B显示在第一区域131及第二区域132上方沉积功函数层128的材料以后图5A及5B的结构。功函数层128的材料可通过使用参照图5A及5B所述的用以沉积覆盖层126的任意沉积制程形成。
功函数层128可为或包括金属及其氮化物,例如氮化钛(TiN)、氮化钽(TaN)、氮化钛铝(TiAlN)、氮化钽铝(TaAlN)、氮化铌(NbN)、氮化钒(VN)、氮化钨(WN)。在另一个例子中,功函数层128可为或包括金属及其碳化物,例如碳化钛(TiC)、碳化钛铝(TiAlC)、铝化钛(TiAl)、碳化钽(TaC)、碳化钽铝(TaAlC)、碳化铌(NbC)、碳化钒(VC)等。在另一个例子中,功函数层128也可包括钌(Ru)、铂(Pt)、钼(Mo)、钴(Co)及其合金及组合。
图7显示图6A及6B的结构在衬底结构的第二区域131上方设置另一个保护掩膜142并暴露第一区域131後的结构,其中该第一区域131被暴露以执行进一步参照图8A及8B进行揭露的制程步骤。保护掩膜142可具有前面参照图3的保护掩膜所述的任意属性。
图8A显示图7的结构沿图7的线8A-8A的剖视图,图8B显示图7的结构沿图7的线8B-8B的剖视图。如图所示,在一个例子中,设定功函数层128的尺寸的制程包括自衬底结构的第一区域132移除功函数层128的材料。在另一个例子中,可部分移除该材料,以降低第一区域131中的功函数层128的厚度。移除功函数层128可通过使用参照图4A及4B所述的用以移除覆盖层126的任意移除制程实现。
图9A及9B显示图8A及8B的结构在移除该另一保护掩膜并在第一区域131及第二区域132上方沉积功函数层128的材料以后的结构。在一个例子中,如图所示,沉积该材料在第一区域131中形成功函数层128的第一厚度T’1,在第二区域132中形成第二厚度T’2。如图所示,T’2可大于T’1,但在另一个例子中,T’1可大于T’2。沉积功函数层128的材料可通过使用前面参照图6A及6B所述的技术实现。
例如,功函数层128可为或包括TiN或TiC,且可具有约在之间的厚度。在一个具体例子中,功函数层128可为TiN层,其可在第二区域132中具有约的厚度T’2,且在第一区域中具有约的厚度T’1。在这样一个例子中,与经尺寸设定的覆盖层126一起,第一栅极堆叠可定义约0.35V的第一区域131中的FET的第一阈值电压以及约0.25V的第二区域132中的另一FET的第二阈值电压,它们之间的差别介于80至120毫伏之间。
图10显示图9A及9B的结构在衬底结构的第三区域133上方设置另一保护掩膜143并暴露衬底结构的第四区域134之後的结构,其中该衬底结构的第四区域134被暴露以执行进一步参照图11A进行揭露的制程步骤。如图所示,第三区域133及第四区域134各自重叠第一区域131及第二区域132。在一个例子中,变化栅极结构120可在第三区域133中具有一栅极堆叠以为PFET提供阈值电压,并在第四区域134中具有另一栅极堆叠以为NFET提供不同的阈值电压。通过使用保护掩膜以及重叠区域,变化栅极结构120可在众多区域中具有厚度不同的不同层,从而允许定义电路设计所需的任意数目的阈值电压。
图11A显示图10的结构在斜切衬底结构的第四区域中的功函数层128以后的结构。可选择性地斜切功函数层128,以移除尖角,从而方便后续制程步骤,因为栅极结构120的狭窄开口使沉积其它材料变得困难。斜切可通过任意适当的蚀刻制程实现,例如等向性蚀刻。
图11B显示图10的结构在移除衬底结构的第三区域中的功函数层以后的结构。为移除该功函数层,可在衬底结构的第四区域上方设置保护掩膜,并可以先前参照图7至8B所述的类似方式采用移除制程。在另一个例子中,仅该功函数层的部分被移除。
图12A及12B显示图11A及11B的结构在衬底结构上方设置另一层129以后的结构。在一个例子中,另一层129可为功函数层,且可为与功函数层128相同或不同的材料。通过使用前面所述的技术,可设定另一层129的尺寸,使其在衬底结构的不同区域中具有不同的厚度。
图13A及13B显示图12A及12B的结构在沉积包覆层130’并使用材料130填充变化栅极结构120以后的结构。在一个例子中,材料130可为任意适当的材料,包括金属,例如钨(W)。包覆层130’可用以保护下方的栅极堆叠免受机械损伤或材料130的扩散影响,且在一个具体例子中,包覆层130’可为具有的厚度的TiN。由于变化栅极结构120变化的总体厚度,可沉积足量的材料130,以完全填充栅极结构120。在使用材料130填充栅极结构120以后,电路结构可经化学机械抛光(CMP)制程以自电路结构的不同部分移除额外的栅极堆叠材料。
如图所示,变化栅极结构120可具有不同的材料层,其包括功函数及覆盖层,它们在衬底结构的不同区域中具有不同的尺寸。总之,图13A及13B显示变化栅极结构120的四个不同的栅极堆叠,其具有四种不同的尺寸形状,以允许四个不同的阈值电压。很容易理解,通过应用这里所揭露的技术,可在额外的区域中调整变化栅极结构120,以形成额外的不同栅极堆叠,以及由此形成的不同的阈值电压,包括例如针对NFET及PFET的额外的不同阈值电压对。
另外,在特定情况下,在电路结构的部分中,例如栅极结构下方的沟道区或所述各层中,可能想要通过注入掺杂例如n型或p型掺杂物进一步调整通过使用这里所述的变化栅极结构所获得的阈值电压。此类调整可通过利用各种材料的电路结构的等离子掺杂或离子注入实现。
这里所使用的术语仅是出于说明特定例子的目的,并非意图限制本发明。除非上下文中明确指出,否则这里所使用的单数形式“一个”以及“该”也意图包括复数形式。还应当理解,术语“包括”(以及任意形式的包括)、“具有”(以及任意形式的具有)以及“包含”(任意形式的包含)都是开放式连接动词。因此,“包括”、“具有”或“包含”一个或多个步骤或元件的方法或装置具有那些一个或多个步骤或元件,但并不限于仅仅具有那些一个或多个步骤或元件。类似地,“包括”、“具有”或“包含”一个或多个特征的一种方法的步骤或一种装置的元件具有那些一个或多个特征,但并不限于仅仅具有那些一个或多个特征。而且,以特定方式配置的装置或结构至少是以这种方式配置,但也可以未列出的方式配置。
本发明的权利要求中的所有方式或步骤加功能元素的相应结构、材料、动作及等同(如果有的话)意图包括执行该功能的任意结构、材料或动作结合具体请求保护的其它请求保护的元素。本发明的说明是出于示例及说明目的,并非意图详尽无遗或将本发明限于所揭露的形式。本领域的技术人员很容易了解许多修改及变更,而不背离本发明的范围及精神。实施例经选择并说明以最好地解释本发明的一个或多个态样的原理以及实际应用,并使本领域的技术人员能够理解本发明的一个或多个态样为适应所考虑的特定应用而作出各种修改的各种实施例。

Claims (20)

1.一种装置,包括:
集成电路,该集成电路包括:
变化栅极结构,设于衬底结构上方,该变化栅极结构包括位于该衬底结构的第一区域中的第一栅极堆叠,以及位于该衬底结构的第二区域中的第二栅极堆叠,其中,该第一栅极堆叠不同于该第二栅极堆叠;
第一场效应晶体管,位于该衬底结构的该第一区域中,该第一场效应晶体管包括该变化栅极结构的该第一栅极堆叠并具有第一阈值电压;以及
第二场效应晶体管,位于该衬底结构的该第二区域中,该第二场效应晶体管包括该变化栅极结构的该第二栅极堆叠并具有第二阈值电压,其中,该第一阈值电压不同于该第二阈值电压。
2.如权利要求1所述的装置,其中,该变化栅极结构包括一层及另一层,该一层在该衬底结构的该第一区域中具有第一厚度并在该衬底结构的该第二区域中具有第二厚度,且该另一层在该衬底结构的该第一区域中具有第三厚度并在该衬底结构的该第二区域中具有第四厚度,其中,该第一厚度不同于该第二厚度,且该第三厚度不同于该第四厚度。
3.如权利要求2所述的装置,其中,该第一厚度小于该第二厚度,且该第三厚度大于该第四厚度。
4.如权利要求1所述的装置,其中,该变化栅极结构的部分自该第一场效应晶体管延伸至该第二场效应晶体管。
5.如权利要求1所述的装置,其中,该第一阈值电压介于超出该第二阈值电压80至120毫伏之间。
6.如权利要求1所述的装置,其中,该衬底结构包括在该衬底结构的该第一区域上方延伸的第一鳍片以及在该衬底结构的该第二区域上方延伸的第二鳍片,且该变化栅极结构共形设于该第一鳍片及该第二鳍片上方。
7.如权利要求6所述的方法,其中,该变化栅极结构的部分自该第一场效应晶体管延伸至该第二场效应晶体管。
8.如权利要求6所述的装置,其中,该第一鳍片与该第二鳍片是同一鳍片。
9.如权利要求1所述的装置,其中,该变化栅极结构的一层包括功函数层,该变化栅极结构的另一层包括覆盖层,且该变化栅极结构还包括设于该覆盖层下方的栅极介电层。
10.一种方法,包括:
制造集成电路,该制造包括:
设置变化栅极结构,该变化栅极结构设于衬底结构上方,该变化栅极结构包括位于该衬底结构的第一区域中的第一栅极堆叠以及位于该衬底结构的第二区域中的第二栅极堆叠,且该设置包括:
设定该变化栅极结构的一层的尺寸,使其在该衬底结构的该第一区域中具有第一厚度并在该衬底结构的该第二区域中具有第二厚度;以及
设定该变化栅极结构的另一层的尺寸,使其在该衬底结构的该第一区域中具有第三厚度并在该衬底结构的该第二区域中具有第四厚度,其中,该第一厚度不同于该第二厚度,且该第三厚度不同于该第四厚度。
11.如权利要求10所述的方法,其中,该集成电路包括位于该衬底结构的该第一区域中的第一场效应晶体管,以及位于该衬底结构的该第二区域中的第二场效应晶体管,该第一场效应晶体管包括该第一栅极堆叠并具有第一阈值电压,且该第二场效应晶体管包括该第二栅极堆叠并具有第二阈值电压,其中,该第一阈值电压不同于该第二阈值电压。
12.如权利要求11所述的方法,其中,该变化栅极结构的部分自该第一场效应晶体管延伸至该第二场效应晶体管。
13.如权利要求10所述的方法,其中,该第一厚度小于该第二厚度,且该第三厚度大于该第四厚度。
14.如权利要求10所述的方法,其中,设定该变化栅极结构的该一层的尺寸包括:
在该衬底结构的该第一区域及该第二区域上方至少部分地沉积该一层的材料;
从该衬底结构的该第一区域至少部分地移除该材料;以及
在该衬底结构的该第一区域及该第二区域上方至少部分地再次沉积该材料,以在该第一区域中形成该一层的该第一厚度,并在该第二区域中形成该一层的该第二厚度。
15.如权利要求10所述的方法,其中,该衬底结构的第三区域包括该第一区域的一部分以及该第二区域的另一部分,且该设置还包括,在设定该变化栅极结构的该一层的尺寸以后,重新设定位于该衬底结构的该第三区域中的该一层的尺寸,使其具有第五厚度。
16.如权利要求10所述的方法,其中,该衬底结构包括在该衬底结构的该第一区域及该第二区域上方延伸的一个或多个鳍片,且设置该变化栅极结构包括在该一个或多个鳍片上方共形设置该变化栅极结构。
17.如权利要求16所述的方法,其中,该集成电路包括位于该衬底结构的该第一区域中的第一场效应晶体管以及位于该衬底结构的该第二区域中的第二场效应晶体管,该第一场效应晶体管包括该第一栅极堆叠以及该一个或多个鳍片中的第一鳍片,并具有第一阈值电压,且该第二场效应晶体管包括该第二栅极堆叠以及该一个或多个鳍片中的第二鳍片,并具有第二阈值电压,其中,该第一阈值电压不同于该第二阈值电压。
18.如权利要求17所述的方法,其中,该变化栅极结构的部分自该第一场效应晶体管延伸至该第二场效应晶体管。
19.如权利要求17所述的方法,其中,该第一鳍片与该第二鳍片是该一个或多个鳍片结构中的同一鳍片。
20.如权利要求10所述的方法,其中,该变化栅极结构的该一层包括功函数层,该变化栅极结构的该另一层包括覆盖层,且该变化栅极结构还包括设于该覆盖层下方的栅极介电层。
CN201510086610.2A 2014-02-25 2015-02-17 具有变化栅极结构的集成电路及其制法 Active CN104867824B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/188,778 2014-02-25
US14/188,778 US9576952B2 (en) 2014-02-25 2014-02-25 Integrated circuits with varying gate structures and fabrication methods

Publications (2)

Publication Number Publication Date
CN104867824A true CN104867824A (zh) 2015-08-26
CN104867824B CN104867824B (zh) 2020-04-17

Family

ID=53882976

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510086610.2A Active CN104867824B (zh) 2014-02-25 2015-02-17 具有变化栅极结构的集成电路及其制法

Country Status (3)

Country Link
US (1) US9576952B2 (zh)
CN (1) CN104867824B (zh)
TW (1) TWI591772B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107068679A (zh) * 2016-02-10 2017-08-18 台湾积体电路制造股份有限公司 半导体装置与其形成方法
CN107305866A (zh) * 2016-04-25 2017-10-31 联华电子股份有限公司 半导体元件及其制作方法
CN107978565A (zh) * 2016-10-24 2018-05-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
CN109087889A (zh) * 2017-06-13 2018-12-25 格芯公司 在finfet装置中用于阈值电压控制的方法、设备及系统

Families Citing this family (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102155511B1 (ko) * 2013-12-27 2020-09-15 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9922880B2 (en) 2014-09-26 2018-03-20 Qualcomm Incorporated Method and apparatus of multi threshold voltage CMOS
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102358318B1 (ko) * 2015-06-04 2022-02-04 삼성전자주식회사 멀티 일함수 게이트 패턴들을 갖는 반도체 소자
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN106601605B (zh) 2015-10-19 2020-02-28 中芯国际集成电路制造(北京)有限公司 栅极堆叠结构、nmos器件、半导体装置及其制造方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
TWI714583B (zh) 2016-05-16 2021-01-01 聯華電子股份有限公司 半導體裝置及其形成方法
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
CN107680938B (zh) 2016-08-01 2021-05-28 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
TWI704675B (zh) 2016-10-31 2020-09-11 新加坡商馬維爾亞洲私人有限公司 製造具有優化的柵極氧化物厚度的記憶體器件
US10643904B2 (en) * 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102316293B1 (ko) 2017-09-18 2021-10-22 삼성전자주식회사 반도체 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10790196B2 (en) 2017-11-09 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage tuning for fin-based integrated circuit device
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US11411095B2 (en) 2017-11-30 2022-08-09 Intel Corporation Epitaxial source or drain structures for advanced integrated circuit structure fabrication
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102418061B1 (ko) 2018-01-09 2022-07-06 삼성전자주식회사 반도체 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10411091B1 (en) 2018-07-13 2019-09-10 Qualcomm Incorporated Integrated circuits employing a field gate(s) without dielectric layers and/or work function metal layers for reduced gate layout parasitic resistance, and related methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10867864B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11094598B2 (en) * 2019-07-11 2021-08-17 Globalfoundries U.S. Inc. Multiple threshold voltage devices
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1649171A (zh) * 2004-01-30 2005-08-03 台湾积体电路制造股份有限公司 在soi上形成平面多栅极晶体管结构和其方法
US20100155854A1 (en) * 2008-12-22 2010-06-24 Knut Stahrenberg Methods of Fabricating Semiconductor Devices and Structures Thereof
US20100308418A1 (en) * 2009-06-09 2010-12-09 Knut Stahrenberg Semiconductor Devices and Methods of Manufacture Thereof
US20100327373A1 (en) * 2009-06-30 2010-12-30 Richard Carter Uniform high-k metal gate stacks by adjusting threshold voltage for sophisticated transistors by diffusing a metal species prior to gate patterning
CN102456691A (zh) * 2010-10-29 2012-05-16 索尼公司 半导体装置和半导体装置制造方法
CN103165459A (zh) * 2011-12-15 2013-06-19 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制作方法
CN103325683A (zh) * 2012-03-23 2013-09-25 联华电子股份有限公司 鳍状场效晶体管及其工艺
CN103390638A (zh) * 2012-05-11 2013-11-13 三星电子株式会社 半导体器件及其制造方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6448590B1 (en) 2000-10-24 2002-09-10 International Business Machines Corporation Multiple threshold voltage FET using multiple work-function gate materials
US7732872B2 (en) 2007-10-25 2010-06-08 International Business Machines Corporation Integration scheme for multiple metal gate work function structures
US8012817B2 (en) 2008-09-26 2011-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor performance improving method with metal gate
KR20140034347A (ko) * 2012-08-31 2014-03-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN103943498B (zh) * 2013-01-22 2016-08-10 中芯国际集成电路制造(上海)有限公司 三维量子阱晶体管及其形成方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1649171A (zh) * 2004-01-30 2005-08-03 台湾积体电路制造股份有限公司 在soi上形成平面多栅极晶体管结构和其方法
US20100155854A1 (en) * 2008-12-22 2010-06-24 Knut Stahrenberg Methods of Fabricating Semiconductor Devices and Structures Thereof
US20100308418A1 (en) * 2009-06-09 2010-12-09 Knut Stahrenberg Semiconductor Devices and Methods of Manufacture Thereof
US20100327373A1 (en) * 2009-06-30 2010-12-30 Richard Carter Uniform high-k metal gate stacks by adjusting threshold voltage for sophisticated transistors by diffusing a metal species prior to gate patterning
CN102456691A (zh) * 2010-10-29 2012-05-16 索尼公司 半导体装置和半导体装置制造方法
CN103165459A (zh) * 2011-12-15 2013-06-19 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制作方法
CN103325683A (zh) * 2012-03-23 2013-09-25 联华电子股份有限公司 鳍状场效晶体管及其工艺
CN103390638A (zh) * 2012-05-11 2013-11-13 三星电子株式会社 半导体器件及其制造方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107068679A (zh) * 2016-02-10 2017-08-18 台湾积体电路制造股份有限公司 半导体装置与其形成方法
US10825813B2 (en) 2016-02-10 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US11043491B2 (en) 2016-02-10 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US11764218B2 (en) 2016-02-10 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and a method for fabricating the same
CN107305866A (zh) * 2016-04-25 2017-10-31 联华电子股份有限公司 半导体元件及其制作方法
CN107978565A (zh) * 2016-10-24 2018-05-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
CN107978565B (zh) * 2016-10-24 2020-07-07 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
CN109087889A (zh) * 2017-06-13 2018-12-25 格芯公司 在finfet装置中用于阈值电压控制的方法、设备及系统
CN109087889B (zh) * 2017-06-13 2023-08-04 台湾积体电路制造股份有限公司 在finfet装置中用于阈值电压控制的方法、设备及系统

Also Published As

Publication number Publication date
TW201533854A (zh) 2015-09-01
CN104867824B (zh) 2020-04-17
US20150243658A1 (en) 2015-08-27
TWI591772B (zh) 2017-07-11
US9576952B2 (en) 2017-02-21

Similar Documents

Publication Publication Date Title
CN104867824A (zh) 具有变化栅极结构的集成电路及其制法
US9362180B2 (en) Integrated circuit having multiple threshold voltages
TWI752530B (zh) 用於sram的非對稱閘極底切絕緣及其形成方法
TW202008436A (zh) 使用單元隔離柱對主動奈米結構間的n-p空間之功函數金屬圖案化
US20180337113A1 (en) Semiconductor Device with Multi Level Interconnects and Method of Forming the Same
US8420479B2 (en) Semiconductor device comprising a capacitor formed in the contact level
TWI795378B (zh) 積體電路與其形成方法
US11183508B2 (en) Methods of semiconductor device fabrication
US20130113081A1 (en) Quantum capacitance graphene varactors and fabrication methods
CN108461495B (zh) 包括掩埋电容结构的半导体器件及其形成方法
US11211429B2 (en) Vertical intercalation device for neuromorphic computing
US20210074635A1 (en) Semiconductor Device and Method
US10658494B2 (en) Transistors and methods of forming transistors using vertical nanowires
US8765548B2 (en) Capacitors and methods of manufacture thereof
WO2023041227A1 (en) Three-dimensional stack nor flash memory
CN113690237A (zh) 半导体装置及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210303

Address after: California, USA

Patentee after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Patentee before: GLOBALFOUNDRIES Inc.