CN104851814A - 集成电路封装件及其形成方法 - Google Patents

集成电路封装件及其形成方法 Download PDF

Info

Publication number
CN104851814A
CN104851814A CN201510059724.8A CN201510059724A CN104851814A CN 104851814 A CN104851814 A CN 104851814A CN 201510059724 A CN201510059724 A CN 201510059724A CN 104851814 A CN104851814 A CN 104851814A
Authority
CN
China
Prior art keywords
stack
substrate
ground floor
layer
stacking
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510059724.8A
Other languages
English (en)
Other versions
CN104851814B (zh
Inventor
余振华
吴集锡
邱文智
李祥帆
戴世芃
邱当荣
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104851814A publication Critical patent/CN104851814A/zh
Application granted granted Critical
Publication of CN104851814B publication Critical patent/CN104851814B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/0951Function
    • H01L2224/09515Bonding areas having different functions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16147Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a bonding area disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81007Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a permanent auxiliary member being left in the finished device, e.g. aids for holding or protecting the bump connector during or after the bonding process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06582Housing for the assembly, e.g. chip scale package [CSP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06596Structural arrangements for testing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)

Abstract

本发明提出了一种形成集成电路封装件的方法。第一多个第一层堆叠件被安装在衬底上,其中,衬底具有与第一层堆叠件中的每一个堆叠件均相应的一个或多个接触焊盘并且具有与第一层堆叠件中的每一个堆叠件均相配套的一个或多个探测焊盘。电测试第一层堆叠件中的每一个堆叠件并且识别已知良好的第一层堆叠件和已知不良的第一层堆叠件。第一多个堆叠衬底被安装在已知良好的第一层堆叠件上,由此形成多个第二层堆叠件。电测试第二层堆叠件中的每一个堆叠件以识别已知良好的第二层堆叠件和已知不良的第二层堆叠件。本发明还提供了根据该形成集成电路封装件的方法而形成的半导体器件。

Description

集成电路封装件及其形成方法
优先权要求和交叉引用
本发明要求于2014年2月13日提交的标题为“Probe Pad Structure andMethods of Using Same”的美国临时申请第61/939,562号的优先权,其全部内容通过引用结合于此。
技术领域
本发明总体涉及集成电路,更具体地,涉及三维集成电路(3DIC)。
背景技术
半导体工业的不断发展部分归因于通过降低电子部件(例如,晶体管、二极管、电阻器、电容器等)的物理尺寸以允许更多的部件被置于给定的芯片区域而持续改进了电子部件的集成密度。因为器件被制造在半导体晶圆的表面上,一些改进实质上是二维(2D)的。
另一种增大器件密度的方法是将各管芯叠置于彼此的顶面上并且通过连接件(诸如,通孔(TV))而互连或布线。这种配置被称为三维集成电路(3DIC)。3DIC的一些益处包括:例如,占用较小的封装,通过减小信号互连件的长度来降低功耗,以及在各个管芯在组装之前被分别检测的情况下提高了产量并且降低了制造费用。
发明内容
根据本发明的一个方面,提供了一种形成集成电路封装件的方法,该方法包括:提供衬底;将多个第一层堆叠件安装在衬底上,其中,衬底具有与第一层堆叠件中的每一个堆叠件均相对应的一个或多个接触焊盘并且具有与第一层堆叠件中的每一个堆叠件均相配套的一个或多个探测焊盘;电测试第一层堆叠件中的每一个堆叠件并且识别已知良好的第一层堆叠件和已知不良的第一层堆叠件;将第一多个堆叠衬底安装在已知良好的第一层堆叠件上,由此形成多个第二层堆叠件;以及电测试第二层堆叠件中的每一个堆叠件,从而识别已知良好的第二层堆叠件和已知不良的第二层堆叠件。
优选地,多个第一层堆叠件是多个集成电路管芯。
优选地,多个第一层堆叠件是多个中介层。
优选地,该方法还包括:将多个伪衬底安装至已知不良的第一层堆叠件。
优选地,电测试第一层堆叠件中的每一个堆叠件包括测试第一层堆叠件中的每一个堆叠件的功能。
优选地,该方法还包括:将第二多个堆叠衬底安装在已知良好的第二层堆叠件上,由此形成多个第三层堆叠件,其中,第二多个堆叠衬底被安装在第一多个堆叠衬底的顶面上;以及电测试第三层堆叠件中的每一个堆叠件,并且识别已知良好的第三层堆叠件和已知不良的第三层堆叠件。
优选地,该方法还包括:将第二多个堆叠衬底安装至已知良好的第一层堆叠件上,由此形成多个第三层堆叠件,其中,将第二多个堆叠衬底与第一多个堆叠衬底相邻接地安装;以及电测试第三层堆叠件中的每一个堆叠件,并且识别已知良好的第三层堆叠件和已知不良的第三层堆叠件。
根据本发明的另一方面,提供了一种形成集成电路封装件的方法,该方法包括:提供衬底,衬底具有第一面和第二面,衬底在第一面上具有多个第一接触焊盘和多个探测焊盘,并且衬底在第二面上具有多个第二接触焊盘;将多个第一层堆叠件安装至衬底上的第一接触焊盘上;使用探测焊盘来电测试第一层堆叠件中的每一个堆叠件以识别已知良好的第一层堆叠件和已知不良的第一层堆叠件;将第一多个堆叠衬底安装在已知良好的第一层堆叠件上,并且将第一多个伪衬底安装在已知不良的第一层堆叠件上,由此形成多个第二层堆叠件;以及使用探测焊盘来电测试第二层堆叠件的子集,第二层堆叠件的子集是不包括伪衬底的那些堆叠件,并且识别已知良好的第二层堆叠件和已知不良的第二层堆叠件。
优选地,多个第一层堆叠件是多个集成电路管芯。
优选地,多个第一层堆叠件是多个中介层。
优选地,该方法还包括:在衬底的第一面上方形成模塑料;以及沿着划线切割衬底以形成集成电路封装件。
优选地,第一多个伪衬底包括已知不良的堆叠衬底。
优选地,该方法还包括:将第二多个堆叠衬底安装在已知良好的第二层堆叠件上,并且将第二多个伪衬底安装在已知不良的第二层堆叠件和第一多个伪衬底上,由此形成多个第三层堆叠件;以及电测试第三层堆叠件的子集,第三层堆叠件的子集是不包括伪衬底的那些堆叠件,并且识别已知良好的第三层堆叠件和已知不良的第三层堆叠件。
优选地,将第二多个堆叠衬底安装在第一多个堆叠衬底的顶面上。
优选地,将第二多个堆叠衬底邻接于第一多个堆叠衬底安装。
根据本发明的又一方面,提供了一种半导体器件,包括:衬底,衬底具有第一面和与第一面相对的第二面;第一堆叠件,位于衬底的第一面上,第一堆叠件具有多个堆叠衬底;探测焊盘,位于衬底的第一面上,探测焊盘与第一堆叠件相配套;以及接触焊盘,位于衬底的第二面上。
优选地,第一多个堆叠衬底包括集成电路管芯。
优选地,第一多个堆叠衬底包括中介层。
优选地,第一堆叠件包括第一堆叠衬底,安装在第一堆叠衬底上的第二堆叠衬底,以及安装在第二堆叠衬底上的第三堆叠衬底。
优选地,第一堆叠件包括第一堆叠衬底以及直接安装至第三堆叠衬底的第二堆叠衬底。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明。应该强调的是,根据工业中的标准实践,各种部件没有按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或减小。
图1A是示出了根据一些实施例的形成在面板上衬底(SoP)上的集成电路封装件的三维视图;
图1B是示出了根据一些实施例的形成在晶圆上衬底(SoW)上的集成电路封装件的三维视图;
图2至图6是示出了根据一些实施例的形成集成电路封装件的方法的截面图;
图7A至图11B是示出了根据一些实施例在中间封装工艺过程中对封装件进行E测试工艺的截面图;
图12至图16是示出了根据一些实施例的在晶圆上衬底上中介层(IoSoW)上形成集成电路封装件的方法的截面图;
图17至图21是示出了根据一些实施例的形成集成电路封装件的方法的截面图;
图22是示出了根据一些实施例的形成集成电路封装件的方法的流程图。
具体实施方式
以下公开提供了多种不同实施例或实例,用于实现本发明的不同特征。以下将描述组件和布置的特定实例以简化本发明。当然,这些仅是实例并且不旨在限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括其他部件可以形成在第一部件和第二部件之间使得第一部件和第二部件不直接接触的实施例。另外,本发明可以在多个实例中重复参考符号和/或字符。这种重复用于简化和清楚,并且其本身不表示所述多个实施例和/或配置之间的关系。
此外,在此可使用诸如“在…之下”、“在…下面”、“下面的”、“在…上面”、以及“上面的”等的空间关系术语,以容易地描述如图中所示的一个元件或部件与另一元件或部件的关系。除图中所示的方位之外,空间关系术语将包括使用或操作中的装置的各种不同的方位。装置可以以其它方式定位(旋转90度或在其他方位),并且通过在此使用的空间关系描述符进行相应地解释。
本发明提供了一种集成电路封装件的结构及其形成方法。更具体地,在下面更为详细地描述了带有嵌入的探测焊盘的集成电路封装件。另外,下面还提供了使用嵌入的探测焊盘来形成集成电路封装件的方法。
在具体地阐述所示出的实施例之前,一般论述了示例性的实施例的有益特征和某些方面。在此所描述的实施例的一般方面包括设计在衬底的正面(例如,衬底中安装堆叠衬底的一面)上的探测焊盘。如下面详细描述的那样,堆叠衬底可以是集成电路管芯、中介层等。另一个一般方面是可以在封装工艺过程中,应用电子测试,所谓的E测试,诸如,在叠放多个堆叠衬底的每次堆叠步骤后,执行E测试。通过这种方法,在封装工艺过程中可以将已知不良管芯(KBD)和/或已知不良插入器(KBI)识别出来以及将其再加工、替换、去除、丢弃、标记或另外说明。下面的实施例的另一一般方面在于可将附加的堆叠衬底的进一步处理/叠放仅局限于已知良好管芯(KGD)和/或已知良好插入器(KGI),由此降低了制造费用并且改善了整体产量。在下文中将集成电路封装件简单地称为封装件。
现参考所示出的实施例,图1A是根据一些实施例的三维视图,其示出了形成在置于载体101上的衬底103上的封装件105。例如,可以使用粘合层(未示出)等将衬底103附接至载体101上。在一些实施例中,载体101为形成在其上的各层提供了结构支撑,并且可以代表印刷电路板(PCB),定位模具(jig)等。载体101可以由例如聚合物材料、陶瓷材料,硅,石英,玻璃,金属等制成。
在一些实施例中,衬底103为封装件105提供了结构性基底以及电接口。例如,衬底103可以是层压衬底、硅中介层,玻璃中介层等。在一些实施例中,衬底103可以包括多个导电层(未分别示出),这些层中的一些是位于衬底103内的夹层。这些层可以被蚀刻成具有各种宽度和长度的迹线并且通过层间通孔连接。这些迹线和通孔可以共同形成电气网以将电源、接地端和信号从衬底103的顶面布至衬底103的底面。例如,衬底103可以由硅、有机(层压)材料(诸如,双马来酰亚胺三嗪(BT)、聚合物基材料(诸如,液晶聚合物(LCP))、陶瓷材料(诸如,低温共烧陶瓷(LTCC))等制成。衬底103的导电层和通孔可以由任意适合的导电材料(诸如,铜、铝、银、金、其他金属、合金、它们的组合等)形成,并且可以通过任意适合的技术(诸如,电化学镀(ECP)、无电镀、诸如溅射、印刷、化学汽相沉积(CVD)方法的其他沉积方法等)形成。在一些实施例中,衬底103包括电气元件,诸如,电阻器、电容器、信号再分布电路,它们的组合等。这些电气元件可以是有源的、无源的或它们的组合。在其他实施例中,衬底103其中没有有源的和无源的电气元件。
进一步参考图1A,示出了面板上衬底(substrate on panel,SoP)实施例,其中,载体101和衬底103两者具有矩形形状。在其他实施例中,载体101和衬底103可具有不同的形状。例如,图1B示出了晶圆上衬底(SoW)实施例,其中,衬底103和载体101均具有圆形形状。图1A和图1B中所示的载体101的形状仅用于说明目的,并且在一些实施例中,载体101的形状可以不与衬底103的形状相同。
进一步参考图1A和图1B,如以下详细描述的那样,通过在衬底103上叠放多层堆叠衬底而在衬底103上形成封装件105。在将每层堆叠衬底安装在衬底103上之后,均执行E测试来识别良好的堆叠件。在一些实施例中,仅在良好的堆叠件顶面上执行后续的堆叠,并且由此可以改变每个封装件105中的堆叠衬底的数量。在下文中,在所示出的实施例中,将三层堆叠衬底附接在衬底103上。在其他实施例中,可以根据封装件105的规格来改变层数。
封装件105可以根据在下文所详细讨论的封装过程中对每个封装件105所执行的中间和最终E测试的结果来区分。具体而言,已知良好封装件(KGP)107(以白色填充物表示)是封装件105中通过所有E测试的子集,第一多个已知不良封装件(KBP)109(以“/”填充物表示),第二多个KBP 111(以“\”填充物表示),以及第三多个KBP 113(以“X”填充表示)是封装件105中未通过E测试中的一个的子集。
正如下面详细讨论的那样,第二多个KBP 111和第三多个KBP 113是未通过中间E测试中的一个的封装件。而且,第二多个KBP 111和第三多个KBP 113在某种意义上是未完成的封装件,它们包括的堆叠衬底的数量少于KGP107。第一多个KBP 109是未通过最终的E测试的封装件,并且由此包括的堆叠衬底数量与KGP 107相同。如下面详细讨论的那样,每个KGP 107均包括第一数量的堆叠衬底,每个第一多个KBP 109均包括第二数量的堆叠衬底,每个第二多个KBP 111均包括第三数量的堆叠衬底,并且每个第三多个KBP 113均包括第四数量的堆叠衬底。在一些实施例中,第一数量等于第二数量,第二数量大于第三数量,并且第三数量大于第四数量。然后,在一些实施例中,再加工、替换、去除、丢弃、标记或另外说明被识别出来的KBP。例如,通过沿着位于相邻的封装件105之间的划线117将封装件105切割成小块。
在下文中,参考相邻的封装件115描述了形成封装件105的方法,相邻的封装件115包括四个封装件,一个源于KGP107,一个源于第一多个KBP 109,一个源于第二多个KBP 111,并且一个源于第三多个KBP 113。应该注意到,封装件的这种配置被提供用于为各个示出的实施例的后续说明提供帮助并且不以任何方式局限于本公开。
参考图2至图6,示出了相邻的封装件115的截面图(沿着图1A和图1B中的线AA’截取),该图示出了根据一些实施例的形成封装件105的方法。在所示出的实施例中,堆叠的各衬底是集成电路管芯。首先参考图2,将包括第一管芯201、第二管芯203、第三管芯205以及第四管芯207的第一管芯层安装在衬底103上。第一管芯201、第二管芯203、第三管芯205、第四管芯207可以通过例如球焊、焊料贴装、铜球、铜柱等(它们在第一管芯层和衬底103之间提供了电连接)被安装在衬底103上。在一些实施例中,第一管芯层中的每个以及所有以下所描述的其他管芯均包括一个或多个片上系统(SoC)、存储器芯片、存储器块(memory cube)、处理器芯片、逻辑芯片,以及它们的组合。
此外,除了传统地形成在衬底103的正面103f上的用于为第一管芯层提供电连接的第一多个接触焊盘211以外,示出了在衬底103的正面103f上与每个管芯相配套的探测焊盘209。在所示出的实施例中,用于每个管芯的两个探测焊盘均形成在衬底103的正面103f上。在其他实施例中,可能存在一个或多个探测焊盘,它们对一个或多个所安装的管芯而言是共用的,和/或可能存在不同数量的与每个管芯相结合的探测焊盘。在所示出的实施例中,探测焊盘209形成在衬底103的某些位置中,诸如,随后将在此处将衬底103切割成小块的划线117。
进一步参考图2,在如上所述地将第一管芯层安装在衬底103上之后,执行电和/或功能测试以确保第一管芯201、第二管芯203、第三管芯205以及第四管芯207的正常运行,以及第一管芯层和衬底103之间的正常电连接,和/或中间封装件整体的正常运行。在一些实施例中,在将第一管芯层安装在衬底103上之后执行第一E测试213,得到例如,第一管芯201“故障”的指示,以及第二管芯203、第三管芯205和第四管芯207“通过”的指示。基于第一E测试213的结果,第一管芯201被识别为已知不良管芯(KBD),而第二管芯203、第三管芯205以及第四管芯207被识别为已知良好管芯(KGD)。
参考图3,随后将第二管芯层安装在一些第一管芯层的顶面上。由于第一管芯层的第一管芯201被识别为KBD,第二管芯层没有被安装在第一管芯201顶面上。通过在中间阶段将第一管芯201识别为KBD,可以通过不在第一管芯201顶面上安装其他管芯来大大减少制造费用。此外,对第一管芯201不再执行后续的E测试。在所示出的实施例中,第二管芯层包括安装在第二管芯203顶面上的第五管芯301,安装在第三管芯205顶面上的第六管芯303,以及安装在第四管芯207顶面上的第七管芯305。使用例如微凸块、铜柱等将第二管芯层安装到第一管芯层上,从而在第二管芯层和第一管芯层的KGD之间提供电连接。
进一步参考图3,在将第二管芯层安装在第一管芯层的KGD的顶面上之后,执行第二E测试313以测试所得到的中间封装件。执行第二E测试313以确保第二管芯层的正常的运行,第二管芯层和第一管芯层之间的正常的电连接,和/或中间封装件整体的正常的运行。在所示出的实施例中,仅需要测试三个管芯堆叠件,因为第一管芯201已经被标记为KBD。例如,第二E测试313的结果指出包括第二管芯203和第五管芯301的第一管芯堆叠件307“故障”,并且指出包括第三管芯205和第六管芯303的第二堆叠件309以及包括第四管芯207和第七管芯305的第三堆叠件311“通过”。因此,第一管芯堆叠件307被识别为不良堆叠件,第二堆叠件309和第三堆叠件311被识别为良好堆叠件,因为它们通过了两轮E测试,诸如,第一E测试213和第二E测试313。
参考图4,将第三管芯层安装在一些第二管芯层的顶面上。在所示出的实施例中,第三管芯层包括安装在第六管芯303顶面上的第八管芯401和安装在第七管芯305顶面上的第九管芯403,这完成了封装件105的形成。然后,对包括第三管芯205、第六管芯303和第八管芯401的第四管芯堆叠件405以及包括第四管芯207、第七管芯305和第九管芯403的第五管芯堆叠件407执行最终E测试409以确保第四管芯堆叠件405和第五管芯堆叠件407的正常的运行。在所示出的实施例中,最终的E测试409指出第四管芯堆叠件405“故障”,并且指出第五管芯堆叠件407“通过”。因此,第四管芯堆叠件405被识别为不良堆叠件,而第五管芯堆叠件407被识别为良好堆叠件。
总之,再次参考图1A和图1B,第一管芯201代表第三多个KBP 113中的一个,第一管芯堆叠件307代表第二多个KBP 111中的一个,第四管芯堆叠件405代表第一多个KBP 109中的一个,而第五管芯堆叠件407代表KGP 107中的一个。如以上详细描述的那样,在一些实施例中,每个封装件105均最多包括三个安装在彼此顶面上的堆叠的衬底。然而,在其他实施例中,根据封装件105的设计规格,封装件105可以包括任意数量的堆叠衬底。
在一些实施例中,如图5中所示,模塑料501被设置在衬底103上方的封装件105周围。模塑料501填充了封装件105之间的间隔并且封装了封装件105以阻止例如外部的污染和湿气。模塑料501包括模制材料并且可以包括环氧树脂、有机聚合物、或添加或未添加硅基或玻璃基填充物的聚合物等。在一些实施例中,模塑料501包括其被施加时为胶状液体的液态模塑料(LMC)。模塑料501可以任选地包括其他绝缘材料。可以使用例如化学机械抛光(CMP)、蚀刻工艺等将模塑料501的多余材料从封装件105上方去除。
参考图6,将载体101从衬底103上剥离并且将连接件601安装在衬底103的背面103b上的第二多个接触焊盘603上。在一些实施例中,连接件601可以包括焊料凸块、焊料球、铜凸块、铜柱、球栅阵列(BGA)等。然后,例如通过沿着划线117切割衬底103而将封装件105切割成小块。如果经济上可行可以再加工一个或多个KBP,或可以将其切割成小块以及作为非完全堆叠的封装件(non-fully-stacked)进行出售。在一些实施例中,探测焊盘209在切割工艺之后不被销毁并且保留在封装件105上。
图7A至图11B示出了在以上参考图2和图3所描述的中间封装步骤过程中对其中一个封装件105进行的E测试。首先参考图7A和图7B,分别示出了在以上参考图2所描述的中间封装步骤过程中,其中一个封装件105的顶视图和截面图(沿着图7A中的线AA’截取)。更具体地,图7A和图7B示出了安装在载体101上的衬底103上的第一管芯层701。探测焊盘209如图7A中所示具有矩形形状。然而,在其他实施例中,探测焊盘209可以具有其他形状,诸如,正方形、圆形等。另外,在一些实施例中,表面贴装器件(SMD)703可以形成在衬底103上,表面贴装器件(SMD)703可以充当工艺控制监控(PCM)结构。例如,SMD 703可以包括无源器件(诸如,例如,电阻器、电容器等)或有源器件(诸如,二极管等)。通过探测焊盘209对中间封装件执行中间E测试705。在一些实施例中,对中间封装件执行的中间E测试705可以包括例如对第一管芯层(tier die)701的PCM测试,已知良好堆叠件(KGS)测试,以及全功能电路探测(CP)测试。图7B中示出了在中间E测试705过程中经过中间封装件的可能的电流路径707。例如,电流可以从一个探测焊盘开始,经过SMD703、经过衬底103的导线和通孔、经过衬底103和第一管芯层701之间的互连件,并且经过第一管芯层701流至另一个探测焊盘。
图8A和图8B示出了在以上参考图3所描述的中间封装步骤过程中对其中一个封装件105进行的E测试过程。具体地,图8A和图8B分别示出了安装在图7A和图7B的中间封装件上的第二管芯层801的顶视图和截面图(沿着图8A中的线AA’截取)。在所示出的实施例中,例如使用微凸块803将第二管芯层801安装在第一管芯层701上。通过探测焊盘209对所得到的中间封装件执行中间E测试705。在一些实施例中,中间E测试705可以包括例如对第二管芯层801的PCM测试、已知良好堆叠件(KGS)测试、第一管芯层701和第二管芯层801之间的连通性测试、以及全功能电路探测(CP)测试。图8B中示出了在中间E测试705的过程中经过所得到的中间封装件的可能的电流路径707。例如,电流可以从一个探测焊盘经过SMD703、经过衬底103的导线和通孔、经过衬底103和第一管芯层701之间的互连件、经过微凸块803,并且经过第二管芯层801流至另一个探测焊盘。
图9A和图9B是在以上参考图3所描述的中间封装步骤过程中对其中一个封装件105进行的E测试过程。具体地,图9A和图9B是分别示出了与以上参考图8A和图8B描述的实施例相类似的实施例的顶视图和截面图(沿着图9A中的线AA’截取)。在所示出的实施例中,第二管芯层801以管芯堆叠件代表,其中,使用例如微凸块803并且通过硅通孔或通过衬底通孔(TSV)901将各个管芯彼此堆叠和互连。在一些实施例中,在中间E测试705过程中,电流可以从一个探测焊盘经过SMD 703、经过衬底103的导线和通孔,经过衬底103和第一管芯层701之间的互连件,经过微凸块803,并且经过第二管芯层801的通孔TSV 901流至另一个探测焊盘。
图10A至图11B示出了在以上参考图2和图3所描述的中间封装步骤过程中对其中一个封装件105进行的E测试过程。具体地,图10A至图11B是分别示出了与以上参考图8A至图9B描述的实施例相类似的实施例的顶视图和截面图(沿着图10A和图11A中的线AA’截取)。在所示出的实施例中,使用导电柱1001将中间封装件的各个管芯彼此相互安装。在一些实施例中,在中间E测试705过程中,电流可以从一个探测焊盘经过SMD 703,经过衬底103的导线和通孔,经过衬底103和第一管芯层701之间的互连件,经过导电柱1001,以及经过第二管芯层801的TSV 901流至另一个探测焊盘。
参考图12至图16,示出了相邻的多个封装件115的截面图(沿着图1A和图1B中的线AA’截取),该截面图示出了根据一些实施例的形成封装件105的方法。具体地,图12至图16示出了形成晶圆上衬底上中介层(IoSoW,interposer on substrate on wafer)封装件的实施例。如下面详细地描述的那样,所示出的封装方法类似于以上参考图2至图6所描述的封装方法。然而,在所示出的实施例中,第一层堆叠衬底可以是中介层,在其顶面上安装有第二管芯层和第三管芯层。在一些实施例中,第二管芯层和第三管芯层并排地安装在中介层顶面上以形成如图14至图16中所示的一个封装件105。在所示出的实施例中,中介层提供了在第二管芯层和第三管芯层之间电连接。
首先参考图12,在这个实施例中,分别以第一中介层1201、第二中介层1203、第三中介层1205以及第四中介层1207代表第一层堆叠衬底。每个中介层均可以由硅晶圆、玻璃等形成,并且可以具有一个或多个延伸穿过其中的通孔。在一些实施例中,一个或多个中介层可以具有形成在其上的有源的或无源的器件。
进一步参考图12至图16,执行类似于以上参考图2至图6所描述的那些步骤的后续的封装步骤以形成封装件105。如以上详细描述的那样,在一些实施例中,每个封装件105包括至多三层堆叠衬底。然而,在其他实施例中,根据封装件105的设计规格,封装件105可以包括任意层数的堆叠衬底。另外,一旦理解了本发明,以上所论述的实施例的各种变型对本领域的技术人员是显而易见的。
参考图17至图21,示出了相邻的封装件115的截面图(沿着图1A和图1B中的线AA’截取),该截面图示出了根据一些实施例的形成封装件105的另一种方法。具体地,图17至图21示出了与以上参考图2至图6所论述的实施例类似的实施例。与以上参考图2至图6所论述的实施例相比,在所示出的实施例中,伪衬底1801被安装在KBP顶面上以确保每个封装件105中均具有相同数量的管芯。例如,图18示出了安装在第一管芯201顶面上的一个伪衬底1801,而图19示出了安装在第五管芯301顶面上的一个伪衬底1801以及安装在第一管芯201顶面上的两个伪衬底1801。在一些实施例中,伪衬底1801是没有有源和无源器件的管芯和/或中介层并且不为封装件105提供其他功能。在其他实施例中,伪衬底1801可以是已知不良管芯(KBD)。每个封装件中均具有相同数量的管芯是有益的。例如,封装件105的统一的尺寸可以有助于在衬底103上形成均匀的模塑料501层。
图22是示出了根据一些实施例形成集成电路封装件的方法的流程图。该方法于步骤2201起始,其中,将第一多个堆叠衬底放置在衬底上,如以上参考图2所论述的那样,该衬底具有一个或多个的各个堆叠衬底的探测焊盘。在步骤2203中,对第一多个堆叠衬底中的每一个均执行E测试。根据E测试的结果,在步骤2205中,识别多个已知不良的第一层堆叠件,其中包括如以上参考图2所论述的未通过E测试的堆叠件。另外,识别多个已知良好的第一层堆叠件,其中包括如以上参考图2所论述的通过E测试的堆叠件。然后,在步骤2207中,对已知良好的第一层堆叠件中的每一个执行管芯数量测试。如果已知良好的第一层堆叠件中的堆叠衬底的数量等于由封装件设计所规定的期望的数量,那么该方法在此步骤处停止。反之,该方法将继续进入步骤2209,其中,将第二多个堆叠衬底安装在已知良好的第一层堆叠件上并且将多个第二层堆叠件形成为如以上参考图3所论述的那样。在步骤2211中,如以上参考图3所论述的那样,对第二层封装件中的每一个均执行E测试。根据E测试的结果,在步骤2213中,识别多个已知不良的第二层堆叠件,其中包括未通过以上参考图3所论述的E测试的第二层堆叠件。另外,识别多个已知良好的第二层封装件,其中包括如以上参考图3所论述的通过E测试的第二层封装件。然后,在步骤2215中,对已知良好的第二层堆叠件中的每一个均执行管芯数量测试。如果已知良好的第二层堆叠件中的管芯数量等于由封装件设计所规定的期望的数量,那么该方法将在此步骤处停止。反之,重复步骤2209至2215一次或多次直至达到每个封装件中期望的堆叠衬底数量。
在一个实施例中,一种形成集成电路封装件的方法包括提供衬底,以及将多个第一层堆叠件安装在衬底上,其中,该衬底具有与第一层堆叠件中的每一个均相应的一个或多个接触焊盘并且具有与第一层堆叠件中的每一个相配套的一个或多个探测焊盘。该方法进一步包括电测试第一层堆叠件中的每一个并且识别已知良好的第一层堆叠件和已知不良的第一层堆叠件,将第一多个堆叠衬底安装在已知良好的第一层堆叠件上,由此形成多个第二层堆叠件,并且电测试第二层堆叠件中的每一个,从而识别已知良好的第二层堆叠件和已知不良的第二层堆叠件。
在另一个实施例中,一种形成集成电路封装件的方法包括提供衬底,该衬底具有第一面和第二面,衬底在其第一面上具有多个第一接触焊盘和多个探测焊盘,并且在第二面上具有多个第二接触焊盘。该方法还包括将多个第一层堆叠件安装在衬底上的第一接触焊盘上,并且使用探测焊盘电测试第一层堆叠件中的每一个以识别已知良好的第一层堆叠件和已知不良的第一层堆叠件。该方法进一步包括将第一多个堆叠衬底安装在已知良好的第一层堆叠件上,并且将第一多个伪衬底安装在已知不良的第一层堆叠件上,由此形成多个第二层堆叠件,并且使用探测焊盘电测试第二层堆叠件的子集,第二层堆叠件的子集是不包括伪衬底的那些堆叠件,并且识别已知良好的第二层堆叠件和已知不良的第二层堆叠件。
在又一个实施例中,一种半导体器件包括衬底,该衬底具有第一面和与第一面相对的第二面,以及位于衬底的第一面上的第一堆叠件,该第一堆叠件具有多个堆叠衬底。该半导体器件还包括:位于衬底的第一面上的探测焊盘,该探测焊盘与第一堆叠件相配套;以及位于衬底的第二面上的接触焊盘。
上面论述了若干实施例的部件,使得本领域普通技术人员可以更好地理解本发明的各个方面。本领域普通技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他用于达到与这里所介绍实施例相同的目的和/或实现相同优点的工艺和结构。本领域普通技术人员也应该意识到,这种等效构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (10)

1.一种形成集成电路封装件的方法,所述方法包括:
提供衬底;
将多个第一层堆叠件安装在所述衬底上,其中,所述衬底具有与所述第一层堆叠件中的每一个堆叠件均相对应的一个或多个接触焊盘并且具有与所述第一层堆叠件中的每一个堆叠件均相配套的一个或多个探测焊盘;
电测试所述第一层堆叠件中的每一个堆叠件并且识别已知良好的第一层堆叠件和已知不良的第一层堆叠件;
将第一多个堆叠衬底安装在所述已知良好的第一层堆叠件上,由此形成多个第二层堆叠件;以及
电测试所述第二层堆叠件中的每一个堆叠件,从而识别已知良好的第二层堆叠件和已知不良的第二层堆叠件。
2.根据权利要求1所述的方法,其中,所述多个第一层堆叠件是多个集成电路管芯。
3.根据权利要求1所述的方法,其中,所述多个第一层堆叠件是多个中介层。
4.根据权利要求1所述的方法,还包括:将多个伪衬底安装至所述已知不良的第一层堆叠件。
5.根据权利要求1所述的方法,其中,电测试所述第一层堆叠件中的每一个堆叠件包括测试所述第一层堆叠件中的每一个堆叠件的功能。
6.一种形成集成电路封装件的方法,所述方法包括:
提供衬底,所述衬底具有第一面和第二面,所述衬底在所述第一面上具有多个第一接触焊盘和多个探测焊盘,并且所述衬底在所述第二面上具有多个第二接触焊盘;
将多个第一层堆叠件安装至所述衬底上的所述第一接触焊盘上;
使用所述探测焊盘来电测试所述第一层堆叠件中的每一个堆叠件以识别已知良好的第一层堆叠件和已知不良的第一层堆叠件;
将第一多个堆叠衬底安装在所述已知良好的第一层堆叠件上,并且将第一多个伪衬底安装在所述已知不良的第一层堆叠件上,由此形成多个第二层堆叠件;以及
使用所述探测焊盘来电测试第二层堆叠件的子集,所述第二层堆叠件的子集是不包括伪衬底的那些堆叠件,并且识别已知良好的第二层堆叠件和已知不良的第二层堆叠件。
7.根据权利要求6所述的方法,其中,所述多个第一层堆叠件是多个集成电路管芯。
8.根据权利要求6所述的方法,其中,所述多个第一层堆叠件是多个中介层。
9.一种半导体器件,包括:
衬底,所述衬底具有第一面和与所述第一面相对的第二面;
第一堆叠件,位于所述衬底的第一面上,所述第一堆叠件具有多个堆叠衬底;
探测焊盘,位于所述衬底的第一面上,所述探测焊盘与所述第一堆叠件相配套;以及
接触焊盘,位于所述衬底的第二面上。
10.根据权利要求9所述的半导体器件,其中,所述第一多个堆叠衬底包括集成电路管芯。
CN201510059724.8A 2014-02-13 2015-02-05 集成电路封装件及其形成方法 Active CN104851814B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461939562P 2014-02-13 2014-02-13
US61/939,562 2014-02-13
US14/298,711 2014-06-06
US14/298,711 US9281254B2 (en) 2014-02-13 2014-06-06 Methods of forming integrated circuit package

Publications (2)

Publication Number Publication Date
CN104851814A true CN104851814A (zh) 2015-08-19
CN104851814B CN104851814B (zh) 2018-06-08

Family

ID=53775571

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510059724.8A Active CN104851814B (zh) 2014-02-13 2015-02-05 集成电路封装件及其形成方法

Country Status (3)

Country Link
US (2) US9281254B2 (zh)
KR (1) KR101753458B1 (zh)
CN (1) CN104851814B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109148357A (zh) * 2017-06-28 2019-01-04 中华精测科技股份有限公司 测试接口板组件及其制造方法

Families Citing this family (849)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283443B2 (en) 2009-11-10 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package having integrated capacitor
US11244896B2 (en) 2019-01-27 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US9087821B2 (en) 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US9331038B2 (en) 2013-08-29 2016-05-03 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor interconnect structure
US9960227B2 (en) * 2013-09-11 2018-05-01 Xilinx, Inc. Removal of electrostatic charges from interposer for die attachment
US9466581B2 (en) 2013-10-18 2016-10-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device and manufacturing method thereof
US9406588B2 (en) 2013-11-11 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method thereof
US9867295B2 (en) * 2014-01-07 2018-01-09 Dell Products L.P. Ball grid array system
US9496189B2 (en) * 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9691726B2 (en) * 2014-07-08 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming fan-out package structure
US9831154B2 (en) 2014-07-14 2017-11-28 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacuting method of the same
US11018099B2 (en) 2014-11-26 2021-05-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having a conductive bump with a plurality of bump segments
US10032725B2 (en) 2015-02-26 2018-07-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10269682B2 (en) * 2015-10-09 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling devices, packaged semiconductor devices, and methods of packaging semiconductor devices
US10043761B2 (en) 2015-10-19 2018-08-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
KR101787832B1 (ko) * 2015-10-22 2017-10-19 앰코 테크놀로지 코리아 주식회사 반도체 패키지 제조 방법 및 이를 이용한 반도체 패키지
US9786614B2 (en) 2015-11-16 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure and method of forming
US9972603B2 (en) 2015-12-29 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Seal-ring structure for stacking integrated circuits
US9741694B2 (en) 2015-12-31 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
US10064277B2 (en) * 2016-03-29 2018-08-28 Ferric, Inc. Integrated passive devices and assemblies including same
US11064612B2 (en) 2016-04-01 2021-07-13 Intel Corporation Buried electrical debug access port
US10068853B2 (en) 2016-05-05 2018-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10283479B2 (en) 2016-05-20 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures and methods of forming the same
US9870997B2 (en) 2016-05-24 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US9748206B1 (en) 2016-05-26 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional stacking structure and manufacturing method thereof
US10032722B2 (en) 2016-05-31 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure having am antenna pattern and manufacturing method thereof
US9865566B1 (en) 2016-06-15 2018-01-09 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10050024B2 (en) 2016-06-17 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10854579B2 (en) 2016-06-23 2020-12-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure
US10475769B2 (en) 2016-06-23 2019-11-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10431738B2 (en) 2016-06-24 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method for fabricating the same
US9941186B2 (en) 2016-06-30 2018-04-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US11469215B2 (en) 2016-07-13 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US10276506B2 (en) 2016-07-21 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package
US10128182B2 (en) 2016-09-14 2018-11-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and manufacturing method thereof
US10276548B2 (en) 2016-09-14 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having dummy connectors and methods of forming same
US9922964B1 (en) 2016-09-19 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with dummy die
US9837359B1 (en) 2016-09-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10290609B2 (en) 2016-10-13 2019-05-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
US11158619B2 (en) 2016-10-31 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US10304801B2 (en) 2016-10-31 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US10529690B2 (en) 2016-11-14 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10141253B2 (en) 2016-11-14 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10153222B2 (en) 2016-11-14 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US11527454B2 (en) 2016-11-14 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10276525B2 (en) 2016-11-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US10692813B2 (en) 2016-11-28 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package with dummy bumps connected to non-solder mask defined pads
US10290590B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Company Ltd. Stacked semiconductor device and method of manufacturing the same
US10183858B2 (en) 2016-11-29 2019-01-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US10825780B2 (en) 2016-11-29 2020-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with electromagnetic interference protection and method of manufacture
US10163802B2 (en) 2016-11-29 2018-12-25 Taiwan Semicondcutor Manufacturing Company, Ltd. Fan-out package having a main die and a dummy die, and method of forming
US10529666B2 (en) 2016-11-29 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10163824B2 (en) 2016-12-02 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10269637B2 (en) 2016-12-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10529671B2 (en) 2016-12-13 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US10312203B2 (en) 2016-12-13 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with antenna element
US10535554B2 (en) 2016-12-14 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor die having edge with multiple gradients and method for forming the same
US10535597B2 (en) 2017-01-13 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10741537B2 (en) 2017-01-18 2020-08-11 Taiwan Semiconductor Manufacturing Coompany Ltd. Semiconductor structure and manufacturing method thereof
US10354964B2 (en) 2017-02-24 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated devices in semiconductor packages and methods of forming same
US10629545B2 (en) 2017-03-09 2020-04-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device
US10529698B2 (en) 2017-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming same
US10790240B2 (en) 2017-03-17 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Metal line design for hybrid-bonding application
US10937719B2 (en) 2017-03-20 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US10672729B2 (en) 2017-03-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming package structure
US10872850B2 (en) 2017-03-30 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming thereof
US10784220B2 (en) 2017-03-30 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Plurality of semiconductor devices encapsulated by a molding material attached to a redistribution layer
US10157808B2 (en) 2017-03-30 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming package structure
US11304290B2 (en) 2017-04-07 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods
US10685896B2 (en) 2017-04-13 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method of fabricating the same
US9929128B1 (en) 2017-04-20 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with adhesive layer
US10510709B2 (en) 2017-04-20 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor package and manufacturing method thereof
US10014218B1 (en) 2017-04-20 2018-07-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with bumps
US10276536B2 (en) 2017-04-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out structure
US10163848B2 (en) 2017-04-28 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package
US10074604B1 (en) 2017-04-28 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10319690B2 (en) 2017-04-28 2019-06-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10460987B2 (en) 2017-05-09 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device with integrated antenna and manufacturing method thereof
US10163627B2 (en) 2017-05-18 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US10283470B2 (en) 2017-05-19 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US10468345B2 (en) 2017-05-19 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. 3D IC decoupling capacitor structure and method for manufacturing the same
US10879194B2 (en) 2017-05-25 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device package and method of manufacturing the same
US10290584B2 (en) 2017-05-31 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in semiconductor packages and methods of forming same
US10515923B2 (en) 2017-05-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor package structure with twinned copper layer
US10163781B1 (en) 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US10461060B2 (en) 2017-05-31 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with redistribution layers
US11211345B2 (en) * 2017-06-19 2021-12-28 Intel Corporation In-package RF waveguides as high bandwidth chip-to-chip interconnects and methods for using the same
US10157888B1 (en) 2017-06-20 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out packages and methods of forming the same
US10312112B2 (en) 2017-06-20 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package having multi-band antenna and method of forming the same
US10510722B2 (en) 2017-06-20 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US10163803B1 (en) 2017-06-20 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out packages and methods of forming the same
US10304800B2 (en) 2017-06-23 2019-05-28 Taiwan Semiconductor Manufacturing Company Ltd. Packaging with substrates connected by conductive bumps
US10276481B2 (en) 2017-06-26 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having a plurality of conductive balls having narrow width for the ball waist
TWI621194B (zh) * 2017-06-28 2018-04-11 中華精測科技股份有限公司 測試介面板組件
US10535680B2 (en) 2017-06-29 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method with hybrid orientation for FinFET
DE102018108409B4 (de) 2017-06-30 2023-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierte schaltkreis-packages und verfahren zu deren herstellung
US10283428B2 (en) 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method manufacturing the same
US10872885B2 (en) 2017-06-30 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming same
US10269587B2 (en) 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming same
US10283474B2 (en) 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10483187B2 (en) 2017-06-30 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Heat spreading device and method
US10290605B2 (en) 2017-06-30 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fan-out package structure and method for forming the same
US10269728B2 (en) 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with shielding structure for cross-talk reduction
US10727198B2 (en) 2017-06-30 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method manufacturing the same
DE102018106434B4 (de) 2017-06-30 2023-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US11121050B2 (en) 2017-06-30 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a semiconductor device
US10263064B2 (en) 2017-06-30 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
DE102018109028B4 (de) 2017-06-30 2023-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit Abschirmstruktur zur Verringerung von Übersprechen und Verfahren zur Herstellung derselben
US10276551B2 (en) 2017-07-03 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device package and method of forming semiconductor device package
US10944180B2 (en) * 2017-07-10 2021-03-09 Viasat, Inc. Phased array antenna
US10186492B1 (en) * 2017-07-18 2019-01-22 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10276528B2 (en) 2017-07-18 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor device and manufacturing method thereof
US10522476B2 (en) 2017-07-18 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure, integrated fan-out package and method of fabricating the same
US10461034B2 (en) 2017-07-26 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10290611B2 (en) 2017-07-27 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming same
US10515921B2 (en) 2017-07-27 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating semiconductor package
US10162139B1 (en) 2017-07-27 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor package
US10157862B1 (en) 2017-07-27 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10134685B1 (en) 2017-07-27 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method of fabricating the same
US10854570B2 (en) 2017-07-27 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package and method of fabricating the same
US10157864B1 (en) * 2017-07-27 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming the same
US10157850B1 (en) 2017-07-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and manufacturing method thereof
US11335767B2 (en) 2017-07-31 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US10535591B2 (en) 2017-08-10 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of manufacturing the same
US10510691B2 (en) * 2017-08-14 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10475747B2 (en) 2017-08-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method for fabricating the same
US10074618B1 (en) 2017-08-14 2018-09-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10461022B2 (en) 2017-08-21 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and manufacturing method thereof
US10340242B2 (en) 2017-08-28 2019-07-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of manufacturing the same
US10276428B2 (en) 2017-08-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating semiconductor package
US10510718B2 (en) 2017-08-28 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US11075132B2 (en) 2017-08-29 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package, package-on-package structure, and manufacturing method thereof
US10290610B2 (en) 2017-08-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. PoP device and method of forming the same
US10665521B2 (en) 2017-08-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Planar passivation layers
US10276404B2 (en) 2017-08-30 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package
US10861773B2 (en) 2017-08-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10879197B2 (en) 2017-08-30 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating package structure
US10510603B2 (en) 2017-08-31 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in semiconductor packages and methods of forming same
US10461014B2 (en) 2017-08-31 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Heat spreading device and method
DE102018103061A1 (de) 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Maskenanrdnung und Verfahren zum Herstellen eines Chip-Package
US11107680B2 (en) 2017-08-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Mask assembly and method for fabricating a chip package
US10157867B1 (en) 2017-08-31 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10468307B2 (en) 2017-09-18 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10515888B2 (en) 2017-09-18 2019-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US10157834B1 (en) 2017-09-18 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Electronic apparatus
US11417569B2 (en) 2017-09-18 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having integrated circuit component with conductive terminals of different dimensions
US10510631B2 (en) 2017-09-18 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fan out package structure and method of manufacturing the same
US10276537B2 (en) 2017-09-25 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and manufacturing method thereof
US10867938B2 (en) 2017-09-25 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US10340253B2 (en) 2017-09-26 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US10297544B2 (en) 2017-09-26 2019-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10157870B1 (en) 2017-09-26 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10692826B2 (en) 2017-09-27 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US10319707B2 (en) 2017-09-27 2019-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component, package structure and manufacturing method thereof
US10157892B1 (en) 2017-09-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming the same
US10181449B1 (en) 2017-09-28 2019-01-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US10276920B2 (en) 2017-09-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure, electronic device and method of fabricating package structure
US10510693B2 (en) 2017-09-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure
US10483936B2 (en) 2017-09-28 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Band stop filter structures and methods of forming and operating same
US10347574B2 (en) 2017-09-28 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out packages
US10504865B2 (en) 2017-09-28 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US10497690B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package, method for forming semiconductor package, and method for forming semiconductor assembly
US10276508B2 (en) 2017-09-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming the same
US11101209B2 (en) 2017-09-29 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution structures in semiconductor packages and methods of forming same
US10483617B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure
US10861761B2 (en) 2017-09-29 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor packaged wafer and method for forming the same
US10510732B2 (en) 2017-09-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. PoP device and method of forming the same
US10157871B1 (en) 2017-10-12 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and manufacturing method thereof
US10818624B2 (en) 2017-10-24 2020-10-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US10163825B1 (en) * 2017-10-26 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10074615B1 (en) 2017-10-26 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US10163858B1 (en) 2017-10-26 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and manufacturing methods thereof
US10636775B2 (en) 2017-10-27 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10163832B1 (en) 2017-10-27 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package, redistribution circuit structure, and method of fabricating the same
US10763239B2 (en) 2017-10-27 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-chip wafer level packages and methods of forming the same
US10276543B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor device package and method of forming semicondcutor device package
US10665560B2 (en) 2017-10-27 2020-05-26 Taiwan Semiconductor Manufacturing Company Ltd. Optical semiconductor package and method for manufacturing the same
US10461023B2 (en) 2017-10-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming the same
US10763206B2 (en) 2017-10-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating integrated fan-out packages
US11322449B2 (en) 2017-10-31 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Package with fan-out structures
US10515827B2 (en) 2017-10-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package with recessed interposer substrate
US10629509B2 (en) 2017-10-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution circuit structures and methods of forming the same
TWI736780B (zh) 2017-10-31 2021-08-21 台灣積體電路製造股份有限公司 晶片封裝及其形成方法
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10665582B2 (en) 2017-11-01 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor package structure
US10879214B2 (en) 2017-11-01 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
US10861814B2 (en) 2017-11-02 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US10283473B1 (en) 2017-11-03 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10672737B2 (en) 2017-11-05 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structure and method of manufacturing the same
US10636715B2 (en) 2017-11-06 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating the same
US10170441B1 (en) 2017-11-07 2019-01-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10522440B2 (en) 2017-11-07 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US10446521B2 (en) 2017-11-07 2019-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating an integrated fan-out package
US10283377B1 (en) 2017-11-07 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and manufacturing method thereof
US10629539B2 (en) 2017-11-07 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US10741404B2 (en) 2017-11-08 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US10553533B2 (en) 2017-11-08 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and manufacturing method thereof
US11482491B2 (en) 2017-11-08 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with porous conductive structure and manufacturing method thereof
US10283468B1 (en) 2017-11-09 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures
US10957672B2 (en) 2017-11-13 2021-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10163827B1 (en) 2017-11-14 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with protrusion structure
DE102018106038A1 (de) 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierte schaltkreis-packages und verfahren zu deren herstellung
DE102018111389A1 (de) 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung und Herstellungsverfahren
US10515922B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip integrated fan-out package
US10566261B2 (en) 2017-11-15 2020-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages with embedded heat dissipation structure
US11177201B2 (en) 2017-11-15 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages including routing dies and methods of forming same
US11410918B2 (en) 2017-11-15 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making an integrated circuit package including an integrated circuit die soldered to a bond pad of a carrier
US10586763B2 (en) 2017-11-15 2020-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
DE102018122228B4 (de) 2017-11-15 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integriertes Multichip-Fan-Out-Package sowie Verfahren zu dessen Herstellung
US10522436B2 (en) 2017-11-15 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization of semiconductor packages and structures resulting therefrom
US10361139B2 (en) 2017-11-16 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor package and manufacturing method thereof
US10381309B2 (en) 2017-11-21 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having connecting module
US10658208B2 (en) 2017-11-21 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Polyimide composition for package structure, package structure and method of fabricating the same
US10679947B2 (en) 2017-11-21 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package and manufacturing method thereof
US10734323B2 (en) 2017-11-22 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures
US10763296B2 (en) 2017-11-22 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Biometric sensor and methods thereof
US10797005B2 (en) 2017-11-27 2020-10-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method for manufacturing the same
US10797007B2 (en) 2017-11-28 2020-10-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10910321B2 (en) 2017-11-29 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of making the same
US10510634B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method
US10312201B1 (en) 2017-11-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring for hybrid-bond
US10371893B2 (en) 2017-11-30 2019-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect device and method
US10811377B2 (en) 2017-12-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with a barrier layer and method for forming the same
US10762319B2 (en) 2018-01-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Fingerprint sensor and manufacturing method thereof
US10510704B2 (en) 2018-01-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US10510646B2 (en) 2018-02-26 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Packae structure, RDL structure and method of forming the same
US10573573B2 (en) 2018-03-20 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package and package-on-package structure having elliptical conductive columns
US11069671B2 (en) 2018-03-23 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US11315891B2 (en) 2018-03-23 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor packages having a die with an encapsulant
US10741477B2 (en) 2018-03-23 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US10658315B2 (en) 2018-03-27 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution layer metallic structure and method
US10872862B2 (en) 2018-03-29 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having bridge structure for connection between semiconductor dies and method of fabricating the same
US11158555B2 (en) 2018-03-29 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having sensor die with touch sensing electrode, and method of fabricating the same
US10665537B2 (en) 2018-03-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US11152295B2 (en) 2018-04-13 2021-10-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US10483226B2 (en) 2018-04-20 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10546845B2 (en) 2018-04-20 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Package on package structure
US10510686B2 (en) 2018-04-27 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US10276511B1 (en) 2018-04-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Package and manufacturing method thereof
US10504858B2 (en) 2018-04-27 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US10529593B2 (en) 2018-04-27 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package comprising molding compound having extended portion and manufacturing method of semiconductor package
US10510595B2 (en) 2018-04-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US10672681B2 (en) 2018-04-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages
US10741508B2 (en) 2018-04-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having antenna and manufacturing method thereof
US10790254B2 (en) 2018-05-09 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure
US10756037B2 (en) 2018-05-15 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and fabricating method thereof
US10468379B1 (en) 2018-05-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure and method of manufacturing the same
US10438934B1 (en) 2018-05-15 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Package-on-package structure and manufacturing method thereof
US10748861B2 (en) 2018-05-16 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10366966B1 (en) 2018-05-17 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing integrated fan-out package
US10475762B1 (en) 2018-05-17 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure and method of manufacturing the same
US10510629B2 (en) 2018-05-18 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method of forming same
US10515869B1 (en) 2018-05-29 2019-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure having a multi-thermal interface material structure
US10658287B2 (en) 2018-05-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a tapered protruding pillar portion
US10622321B2 (en) 2018-05-30 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures and methods of forming the same
US10700008B2 (en) 2018-05-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having redistribution layer structures
US10748831B2 (en) 2018-05-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages having thermal through vias (TTV)
US10658263B2 (en) 2018-05-31 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US10811404B2 (en) 2018-05-31 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11114407B2 (en) 2018-06-15 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package and manufacturing method thereof
US10879220B2 (en) 2018-06-15 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure and manufacturing method thereof
US10867943B2 (en) 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die structure, die stack structure and method of fabricating the same
US11289426B2 (en) 2018-06-15 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10685937B2 (en) 2018-06-15 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package having dummy structures and method of forming same
US10978373B2 (en) 2018-06-19 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device methods of manufacture
US10643943B2 (en) 2018-06-25 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure, package-on-package structure and manufacturing method thereof
US10504873B1 (en) 2018-06-25 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure with protective structure and method of fabricating the same and package
US10333623B1 (en) 2018-06-25 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Optical transceiver
US10847492B2 (en) 2018-06-25 2020-11-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method for the same
US10879166B2 (en) 2018-06-25 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having redistribution structure with photosensitive and non-photosensitive dielectric materials and fabricating method thereof
US10504852B1 (en) 2018-06-25 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structures
US10483174B1 (en) 2018-06-25 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit component and package structure having the same
US10672674B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device package having testing pads on a topmost die
US10867962B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging process and manufacturing method
US10916488B2 (en) 2018-06-29 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package having thermal conductive pattern surrounding the semiconductor die
US10854552B2 (en) 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11145564B2 (en) 2018-06-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer passivation structure and method
US10510591B1 (en) 2018-06-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Package-on-package structure and method of manufacturing package
US11075133B2 (en) 2018-06-29 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Underfill structure for semiconductor packages and methods of forming the same
US11728334B2 (en) 2018-06-29 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and method of forming the same
US10872855B2 (en) 2018-06-29 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Chip package and method of fabricating the same
US11165478B2 (en) 2018-07-13 2021-11-02 Viasat, Inc. Multi-beam antenna system with a baseband digital signal processor
US10522470B1 (en) 2018-07-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US11114433B2 (en) 2018-07-15 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and method of fabricating the same
US10510668B1 (en) 2018-07-16 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device
US11075159B2 (en) 2018-07-16 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US11469198B2 (en) 2018-07-16 2022-10-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device manufacturing method and associated semiconductor die
US11410923B2 (en) 2018-07-16 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, integrated fan-out package and method of forming the same
US10950554B2 (en) 2018-07-16 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with electromagnetic interference shielding layer and methods of forming the same
US10504835B1 (en) 2018-07-16 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure, semiconductor chip and method of fabricating the same
US10867925B2 (en) 2018-07-19 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package structure
US11139282B2 (en) 2018-07-26 2021-10-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US10867903B2 (en) 2018-07-27 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of forming the same
US11424197B2 (en) 2018-07-27 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package, package structure with redistributing circuits and antenna elements and method of manufacturing the same
US11239180B2 (en) 2018-07-30 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of package structure with stacked semiconductor dies
US10658333B2 (en) 2018-07-31 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US11289373B2 (en) 2018-07-31 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11075439B2 (en) 2018-07-31 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US10790210B2 (en) 2018-07-31 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US10978424B2 (en) 2018-08-03 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10811316B2 (en) 2018-08-13 2020-10-20 Taiwan Semiconductor Manufacturing Company Ltd. Method and system of forming integrated circuit
US11011501B2 (en) 2018-08-14 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, package-on-package structure and method of fabricating the same
US11056459B2 (en) 2018-08-14 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10700030B2 (en) 2018-08-14 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package having varying conductive pad sizes
US11088124B2 (en) 2018-08-14 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US10720416B2 (en) 2018-08-15 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package including thermal relaxation block and manufacturing method thereof
US10665572B2 (en) 2018-08-15 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US11031344B2 (en) 2018-08-28 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package having redistribution layer structure with protective layer and method of fabricating the same
US10756058B2 (en) 2018-08-29 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US11107801B2 (en) 2018-08-29 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Multi fan-out package structure and method for forming the same
US11171090B2 (en) 2018-08-30 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11270953B2 (en) 2018-08-31 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with shielding structure
US10879161B2 (en) 2018-08-31 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having a seed layer structure protruding from an edge of metal structure
US11309294B2 (en) 2018-09-05 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US11164839B2 (en) 2018-09-11 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11264300B2 (en) 2018-09-17 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with lid and method for forming the same
US11004827B2 (en) 2018-09-18 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method of semiconductor package
US10914895B2 (en) 2018-09-18 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11004812B2 (en) 2018-09-18 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US10665545B2 (en) 2018-09-19 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, semiconductor packages and methods of forming the same
US10867919B2 (en) 2018-09-19 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US10796990B2 (en) 2018-09-19 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, package structure, and manufacturing method thereof
US11062997B2 (en) 2018-09-20 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package structure
US10797031B2 (en) 2018-09-20 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US10504824B1 (en) 2018-09-21 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10734348B2 (en) 2018-09-21 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded semiconductor devices and methods of forming the same
US11563167B2 (en) 2018-09-26 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an MRAM device with a multi-layer top electrode
US10998202B2 (en) 2018-09-27 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10867955B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having adhesive layer surrounded dam structure
US10658348B2 (en) 2018-09-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having a plurality of first and second conductive strips
US11177192B2 (en) 2018-09-27 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including heat dissipation structure and fabricating method of the same
US11393771B2 (en) 2018-09-27 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structures in semiconductor packaged device and method of forming same
US10867890B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Mutli-chip package with encapsulated conductor via
US11062975B2 (en) 2018-09-27 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures
US10790162B2 (en) 2018-09-27 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10868353B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US10672728B2 (en) 2018-09-27 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package
DE102019117917B4 (de) 2018-09-27 2023-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Bondingstrukturen in halbleiter-packages und verfahren zu ihrer herstellung
US10825773B2 (en) 2018-09-27 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with reinforcement structures in a redistribution circuit structure and method of manufacturing the same
US11171098B2 (en) 2018-09-27 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
DE102018130035B4 (de) 2018-09-28 2020-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Package und verfahren
US11164754B2 (en) 2018-09-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out packages and methods of forming the same
US11081392B2 (en) 2018-09-28 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Dicing method for stacked semiconductor devices
US10867879B2 (en) 2018-09-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
DE102019101999B4 (de) 2018-09-28 2021-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung mit mehreren polaritätsgruppen
US11158600B2 (en) 2018-09-28 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process for semiconductor packaging and structures resulting therefrom
US10861841B2 (en) 2018-09-28 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multiple polarity groups
US11037952B2 (en) 2018-09-28 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Peripheral circuitry under array memory device and method of fabricating thereof
US10804230B2 (en) 2018-10-17 2020-10-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of manufacturing the same
US11322450B2 (en) 2018-10-18 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Chip package and method of forming the same
US10510713B1 (en) 2018-10-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor package and method of manufacturing the same
US10679915B2 (en) 2018-10-28 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10840197B2 (en) 2018-10-30 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11626343B2 (en) 2018-10-30 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with enhanced thermal dissipation and method for making the same
US11307500B2 (en) 2018-10-30 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing photoresistor layer, method of forming a pattern and method of manufacturing a package
US11545370B2 (en) 2018-10-30 2023-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pattern and manufacturing method of package
US10638616B1 (en) 2018-10-30 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit carrier and manifacturing method thereof
US11430739B2 (en) 2018-10-30 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of package structure with fan-out structure
US10656351B1 (en) 2018-10-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd Package structure for optical fiber and method for forming the same
US11031381B2 (en) 2018-10-30 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Optical transceiver and manufacturing method thereof
US10879224B2 (en) 2018-10-30 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, die and method of manufacturing the same
US11164825B2 (en) 2018-10-31 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. CoWos interposer with selectable/programmable capacitance arrays
US10796976B2 (en) 2018-10-31 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11075173B2 (en) 2018-10-31 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming same
US11031289B2 (en) 2018-10-31 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and methods of forming the same
US11637186B2 (en) 2018-11-20 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor having gate contact and source/drain contact separated by a gap
US11088109B2 (en) 2018-11-21 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Packages with multi-thermal interface materials and methods of fabricating the same
DE102019111085A1 (de) 2018-11-27 2020-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Abschirmstrukturen
US10867939B2 (en) 2018-11-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US10861810B2 (en) * 2018-11-27 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Shielding structures
US10867947B2 (en) 2018-11-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US11139223B2 (en) 2018-11-29 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11282761B2 (en) 2018-11-29 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US10962711B2 (en) 2018-11-29 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11289424B2 (en) 2018-11-29 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package and method of manufacturing the same
US10964609B2 (en) 2018-11-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for detecting end point
US11328936B2 (en) 2018-12-21 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of package structure with underfill
US11094634B2 (en) 2018-12-24 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package structure comprising rigid-flexible substrate and manufacturing method thereof
US11069642B2 (en) 2018-12-24 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11342295B2 (en) 2018-12-24 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic assembly, package structure having hollow cylinders and method of fabricating the same
US11183487B2 (en) 2018-12-26 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10777531B2 (en) 2018-12-28 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Package contact structure, semiconductor package and manufacturing method thereof
US11094625B2 (en) 2019-01-02 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package with improved interposer structure
US11101214B2 (en) 2019-01-02 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with dam structure and method for forming the same
US11456268B2 (en) 2019-01-21 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10811390B2 (en) 2019-01-21 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same and package
US11088110B2 (en) 2019-01-28 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, circuit board structure and manufacturing method thereof
US10790269B2 (en) 2019-01-29 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and semiconductor structures
US10818651B2 (en) 2019-01-29 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11121052B2 (en) 2019-01-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out device, 3D-IC system, and method
US10818588B2 (en) 2019-01-31 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, package structure and method of fabricating the same
US10658258B1 (en) 2019-02-21 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package and method of forming the same
US10756038B1 (en) 2019-02-21 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US11002927B2 (en) 2019-02-21 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US10872842B2 (en) 2019-02-25 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11081369B2 (en) 2019-02-25 2021-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11024581B2 (en) 2019-02-25 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US11694967B2 (en) 2019-03-14 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11037877B2 (en) 2019-03-14 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11018215B2 (en) 2019-03-14 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US10985101B2 (en) 2019-03-14 2021-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10985116B2 (en) 2019-03-14 2021-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US11164814B2 (en) 2019-03-14 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10867963B2 (en) 2019-03-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
US11004786B2 (en) 2019-03-15 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11728278B2 (en) 2019-03-25 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Board substrates, three-dimensional integrated circuit structures and methods of forming the same
US10867944B2 (en) 2019-03-27 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US11374303B2 (en) 2019-03-28 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11239173B2 (en) 2019-03-28 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out feature
US11139249B2 (en) 2019-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of forming the same
US11152330B2 (en) 2019-04-16 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure and method for forming the same
US11094811B2 (en) 2019-04-19 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10879170B2 (en) 2019-04-21 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10923421B2 (en) 2019-04-23 2021-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10923438B2 (en) 2019-04-26 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11088086B2 (en) 2019-04-26 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10867966B2 (en) 2019-04-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, package-on-package structure and method of fabricating the same
US11088068B2 (en) 2019-04-29 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US11562982B2 (en) 2019-04-29 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US11024616B2 (en) 2019-05-16 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11133289B2 (en) 2019-05-16 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method of semiconductor package having plurality of encapsulating materials
US10777518B1 (en) 2019-05-16 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US10840190B1 (en) 2019-05-16 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US10903090B2 (en) 2019-05-16 2021-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of singulate a package structure using a light transmitting film on a polymer layer
US10879221B2 (en) 2019-05-16 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure
US11075145B2 (en) 2019-05-16 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including through die via and manufacturing method thereof
US10937772B2 (en) 2019-05-29 2021-03-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method for manufacturing the same
US11164819B2 (en) 2019-05-30 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10886245B2 (en) 2019-05-30 2021-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, 3DIC structure and method of fabricating the same
US11024605B2 (en) 2019-05-31 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10790164B1 (en) 2019-06-13 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure
US11145623B2 (en) 2019-06-14 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US10867982B1 (en) 2019-06-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid integrated circuit package and method
US11380620B2 (en) 2019-06-14 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including cavity-mounted device
US10879138B1 (en) 2019-06-14 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packaging structure including interconnection to probe pad with probe mark and method of manufacturing the same
US11088059B2 (en) 2019-06-14 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, RDL structure comprising redistribution layer having ground plates and signal lines and method of forming the same
US10937736B2 (en) 2019-06-14 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid integrated circuit package and method
US10998293B2 (en) 2019-06-14 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor structure
US11127701B2 (en) 2019-06-17 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing intergrated fan-out package with redistribution structure
US11387177B2 (en) 2019-06-17 2022-07-12 Taiwan Semiconductor Manufacturing Company Ltd. Package structure and method for forming the same
US11164848B2 (en) 2019-06-20 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method manufacturing the same
US11837526B2 (en) 2019-06-24 2023-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US11600573B2 (en) 2019-06-26 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with conductive support elements to reduce warpage
US11114413B2 (en) 2019-06-27 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Stacking structure, package structure and method of fabricating the same
US11088079B2 (en) 2019-06-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having line connected via portions
US11282791B2 (en) 2019-06-27 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a heat dissipation structure connected chip package
US11088108B2 (en) 2019-06-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure including ring-like structure and method for forming the same
US11056438B2 (en) 2019-06-27 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of forming the same
US11410897B2 (en) 2019-06-27 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a dielectric layer edge covering circuit carrier
US11562983B2 (en) 2019-06-28 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package having multiple chips integrated therein and manufacturing method thereof
US11101240B2 (en) 2019-06-28 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation bonding film for semiconductor packages and methods of forming the same
US10879192B1 (en) 2019-07-17 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11031376B2 (en) 2019-07-17 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Chip package and method of forming the same
US11018083B2 (en) 2019-07-17 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11264316B2 (en) 2019-07-17 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11063019B2 (en) 2019-07-17 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, chip structure and method of fabricating the same
US11239225B2 (en) 2019-07-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and methods of manufacturing the same
US11004796B2 (en) 2019-07-17 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package
US10833053B1 (en) * 2019-07-17 2020-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US11049802B2 (en) 2019-07-18 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11587818B2 (en) 2019-07-18 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Chuck design and method for wafer
US11239135B2 (en) 2019-07-18 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11195816B2 (en) 2019-07-23 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages comprising a plurality of redistribution structures and methods of forming the same
US11728238B2 (en) 2019-07-29 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with heat dissipation films and manufacturing method thereof
US10978412B2 (en) 2019-07-30 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Manufacturing method of package structure
US11569172B2 (en) 2019-08-08 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11443981B2 (en) 2019-08-16 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding method of package components and bonding apparatus
US11177156B2 (en) 2019-08-22 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package, manufacturing method of semiconductor device and semiconductor package
US11417619B2 (en) 2019-08-22 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Package and manufacturing method thereof
US11018070B2 (en) 2019-08-22 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die, manufacturing method thereof, and semiconductor package
US11094613B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11094635B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US10867892B1 (en) 2019-08-22 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US11088069B2 (en) 2019-08-22 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and semiconductor device
US11270927B2 (en) 2019-08-22 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming the same
US11062968B2 (en) 2019-08-22 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11069608B2 (en) 2019-08-22 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11075131B2 (en) 2019-08-22 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US11127688B2 (en) 2019-08-22 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11062998B2 (en) 2019-08-22 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11296051B2 (en) 2019-08-22 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and forming method thereof
US11195810B2 (en) 2019-08-23 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure and method of forming same
US11380653B2 (en) 2019-08-27 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and manufacturing method thereof
US11309243B2 (en) 2019-08-28 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package having different metal densities in different regions and manufacturing method thereof
US11387164B2 (en) 2019-08-28 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11164824B2 (en) 2019-08-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11257791B2 (en) * 2019-08-28 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked die structure and method of fabricating the same
US11456287B2 (en) 2019-08-28 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11227812B2 (en) 2019-08-28 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11145633B2 (en) 2019-08-28 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11373981B2 (en) 2019-08-28 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11532580B2 (en) 2019-08-29 2022-12-20 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure, semiconductor structure including interconnect structure and method for forming the same
US11398444B2 (en) 2019-08-29 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having conductive pillars with inclined surfaces and methods of forming the same
US11393805B2 (en) 2019-08-29 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor packages
US11854967B2 (en) 2019-08-29 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages
US11233039B2 (en) 2019-08-29 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages
US11569159B2 (en) 2019-08-30 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with through vias
US11784091B2 (en) 2019-08-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out feature
US11264343B2 (en) 2019-08-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for semiconductor device and method of forming same
CN112466861A (zh) 2019-09-09 2021-03-09 台湾积体电路制造股份有限公司 封装结构及其形成方法
US11610864B2 (en) 2019-09-09 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method of forming the same
US11282759B2 (en) 2019-09-09 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure having warpage control and method of forming the same
US11443993B2 (en) 2019-09-09 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with cavity in interposer
US11063008B2 (en) 2019-09-16 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US10886147B1 (en) 2019-09-16 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US10957645B1 (en) 2019-09-17 2021-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having conductive patterns with crystal grains copper columnar shape and method manufacturing the same
US11063022B2 (en) 2019-09-17 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method of reconstructed wafer
US11133283B2 (en) 2019-09-17 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out device
US11164855B2 (en) 2019-09-17 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with a heat dissipating element and method of manufacturing the same
US11088041B2 (en) 2019-09-17 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with shortened talking path
US11081447B2 (en) 2019-09-17 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Graphene-assisted low-resistance interconnect structures and methods of formation thereof
US11183482B2 (en) 2019-09-17 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Shift control method in manufacture of semiconductor device
US11856800B2 (en) 2019-09-20 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with system on chip devices
US11557581B2 (en) * 2019-09-23 2023-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11398416B2 (en) 2019-09-24 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11251121B2 (en) 2019-09-24 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11251100B2 (en) 2019-09-25 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an anti-arcing pattern disposed on a passivation layer and method of fabricating the semiconductor structure
US11854984B2 (en) 2019-09-25 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11410948B2 (en) 2019-09-25 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11251119B2 (en) 2019-09-25 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, package-on-package structure and method of fabricating the same
CN112563229A (zh) 2019-09-26 2021-03-26 台湾积体电路制造股份有限公司 半导体封装及其制造方法
US11841541B2 (en) 2019-09-26 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package assembly and manufacturing method thereof
US11244879B2 (en) 2019-09-26 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11195802B2 (en) 2019-09-26 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including shielding plate in redistribution structure, semiconductor package including conductive via in redistribution structure, and manufacturing method thereof
US11417606B2 (en) 2019-09-26 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11289399B2 (en) 2019-09-26 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11342297B2 (en) 2019-09-27 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11824040B2 (en) 2019-09-27 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package component, electronic device and manufacturing method thereof
US11450641B2 (en) 2019-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating package structure
US11355428B2 (en) 2019-09-27 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11798857B2 (en) 2019-09-27 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Composition for sacrificial film, package, manufacturing method of package
US11282779B2 (en) 2019-09-27 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and fabricating method thereof
US11503711B2 (en) 2019-09-27 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for inserting dummy capacitor structures
US11322477B2 (en) 2019-09-27 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
DE102020108481B4 (de) 2019-09-27 2023-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Die-Package und Herstellungsverfahren
US11374136B2 (en) 2019-09-27 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and forming method thereof
US11289398B2 (en) 2019-09-27 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11476201B2 (en) 2019-09-27 2022-10-18 Taiwan Semiconductor Manufacturing Company. Ltd. Package-on-package device
US11362064B2 (en) 2019-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with shared barrier layer in redistribution and via
US11581276B2 (en) 2019-09-28 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution layers and methods of fabricating the same in semiconductor devices
CN112582276A (zh) 2019-09-28 2021-03-30 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US11289396B2 (en) 2019-09-29 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Sensing component encapsulated by an encapsulation layer with a roughness surface having a hollow region
US11355418B2 (en) 2019-09-29 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11362010B2 (en) 2019-10-16 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out feature
US10879206B1 (en) 2019-10-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US10847429B1 (en) 2019-10-17 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of detecting photoresist scum, method of forming semiconductor package and photoresist scum detection apparatus
US11315860B2 (en) 2019-10-17 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing process thereof
US11107779B2 (en) 2019-10-17 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11018113B2 (en) 2019-10-17 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Memory module, semiconductor package including the same, and manufacturing method thereof
US11133269B2 (en) 2019-10-17 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11145614B2 (en) 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11031325B2 (en) 2019-10-18 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Low-stress passivation layer
US11482465B2 (en) 2019-10-18 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal interface materials, 3D semiconductor packages and methods of manufacture
US11569156B2 (en) 2019-10-27 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, electronic device including the same, and manufacturing method thereof
US11195817B2 (en) 2019-10-28 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11532531B2 (en) 2019-10-29 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11462509B2 (en) 2019-10-29 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with electronic device in cavity substrate and method for forming the same
US10978405B1 (en) 2019-10-29 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package
DE102020119181A1 (de) 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterpackages und verfahren zu deren herstellung
US11688693B2 (en) 2019-10-29 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and method of manufacture
US11404342B2 (en) 2019-10-29 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure comprising buffer layer for reducing thermal stress and method of forming the same
US11417587B2 (en) 2019-10-30 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11735487B2 (en) 2019-10-30 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
KR20210056751A (ko) * 2019-11-11 2021-05-20 삼성전자주식회사 비아를 포함하는 반도체 패키지
US11621244B2 (en) 2019-11-15 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11380645B2 (en) 2019-11-26 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure comprising at least one system-on-integrated-circuit component
US11322453B2 (en) 2019-11-26 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package having channels formed between through-insulator-vias
US11328975B2 (en) 2019-11-26 2022-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11569562B2 (en) 2019-12-12 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11450628B2 (en) 2019-12-15 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure including a solenoid inductor laterally aside a die and method of fabricating the same
US11145639B2 (en) 2019-12-17 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11682654B2 (en) 2019-12-17 2023-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a sensor device and method of manufacturing the same
US11574872B2 (en) 2019-12-18 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11302600B2 (en) 2019-12-18 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11862594B2 (en) 2019-12-18 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with solder resist underlayer for warpage control and method of manufacturing the same
US11232971B2 (en) 2019-12-18 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Workpiece holding mechanism, process system and manufacturing method of semiconductor structure
US11309226B2 (en) 2019-12-18 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and methods of forming the same
US11145562B2 (en) 2019-12-19 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11211341B2 (en) 2019-12-19 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabrcating the same
US11450580B2 (en) 2019-12-24 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11508692B2 (en) 2019-12-25 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
CN113035788A (zh) 2019-12-25 2021-06-25 台湾积体电路制造股份有限公司 封装结构及其制作方法
US11450654B2 (en) 2019-12-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11545438B2 (en) 2019-12-25 2023-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US11551999B2 (en) 2019-12-25 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11664300B2 (en) 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fan-out packages and methods of forming the same
US11417698B2 (en) 2019-12-26 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US11404316B2 (en) 2019-12-27 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. System, device and methods of manufacture
US11791275B2 (en) 2019-12-27 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
US11515173B2 (en) 2019-12-27 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
CN113053802A (zh) 2019-12-27 2021-06-29 台湾积体电路制造股份有限公司 半导体器件的形成方法
US11482461B2 (en) 2019-12-31 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method for making the same
US11728233B2 (en) 2020-01-10 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with ring structure and method for forming the same
US11424219B2 (en) 2020-01-16 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11094682B2 (en) 2020-01-16 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11387204B2 (en) 2020-01-16 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11211360B2 (en) 2020-01-17 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Passive device module, semiconductor package including the same, and manufacturing method thereof
US11616026B2 (en) 2020-01-17 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11239193B2 (en) 2020-01-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11239134B2 (en) 2020-01-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11817325B2 (en) 2020-01-17 2023-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing a semiconductor package
US11462418B2 (en) 2020-01-17 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11302650B2 (en) 2020-01-21 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11201106B2 (en) 2020-01-24 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with conductors embedded in a substrate
US11682626B2 (en) * 2020-01-29 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chamfered die of semiconductor package and method for forming the same
US11894341B2 (en) 2020-01-30 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with through vias and stacked redistribution layers and manufacturing method thereof
US11355466B2 (en) 2020-01-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method of package structure thereof
US11270921B2 (en) 2020-01-30 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including dies having high-modulus dielectric layer and manufacturing method thereof
US11372160B2 (en) 2020-01-31 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package, optical device, and manufacturing method of package
CN113206072A (zh) 2020-01-31 2021-08-03 台湾积体电路制造股份有限公司 半导体封装
US11315862B2 (en) 2020-01-31 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11637054B2 (en) 2020-01-31 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11145592B2 (en) 2020-02-11 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Process for forming metal-insulator-metal structures
US11417629B2 (en) 2020-02-11 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional stacking structure and manufacturing method thereof
US11532576B2 (en) 2020-02-11 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
JP2021136320A (ja) * 2020-02-26 2021-09-13 キオクシア株式会社 半導体装置およびその製造方法
US11114373B1 (en) 2020-02-26 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-insulator-metal structure
US11362065B2 (en) 2020-02-26 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11557568B2 (en) 2020-02-26 2023-01-17 Taiwan Semiconductor Manufacturing Company. Ltd. Package and manufacturing method thereof
US11215753B2 (en) 2020-02-27 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic semiconductor device and method
CN113314505A (zh) 2020-02-27 2021-08-27 台湾积体电路制造股份有限公司 半导体封装及其制造方法
US11417539B2 (en) 2020-02-27 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Bump structure and method of making the same
US11482484B2 (en) 2020-02-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Symmetrical substrate for semiconductor packaging
US11495573B2 (en) 2020-03-02 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11177218B2 (en) 2020-03-20 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package including metallic bolstering pattern and manufacturing method of the package
US11574857B2 (en) 2020-03-23 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11244939B2 (en) 2020-03-26 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11373946B2 (en) 2020-03-26 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11362066B2 (en) 2020-03-26 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11270956B2 (en) 2020-03-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and fabricating method thereof
US11495506B2 (en) 2020-03-30 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with separate electric and thermal paths
US11380611B2 (en) 2020-03-30 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Chip-on-wafer structure with chiplet interposer
US11410932B2 (en) 2020-03-30 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US11515229B2 (en) 2020-03-31 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11302683B2 (en) 2020-04-01 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Optical signal processing package structure
US11315855B2 (en) 2020-04-01 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with photonic die and method
US11347001B2 (en) 2020-04-01 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11502072B2 (en) 2020-04-16 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11276670B2 (en) 2020-04-17 2022-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method of semiconductor device
US11342413B2 (en) 2020-04-24 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective liner on backside via and method thereof
US11594498B2 (en) 2020-04-27 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method
US11495559B2 (en) 2020-04-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits
US11264359B2 (en) 2020-04-27 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Chip bonded to a redistribution structure with curved conductive lines
US11243573B2 (en) 2020-04-28 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package, display apparatus and manufacturing method of semiconductor package
US11948930B2 (en) 2020-04-29 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of manufacturing the same
US11929261B2 (en) 2020-05-01 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11222859B2 (en) 2020-05-05 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with bonding pad and method for forming the same
US11670692B2 (en) 2020-05-13 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices having self-aligned capping between channel and backside power rail
US11444034B2 (en) 2020-05-18 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution structure for integrated circuit package and method of forming same
DE102020126648A1 (de) 2020-05-18 2021-11-18 Taiwan Semiconductor Manufacturing Co., Ltd. Umverteilungsstruktur für integrierte-schaltung-package und deren herstellungsverfahren
US11609391B2 (en) 2020-05-19 2023-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11996409B2 (en) 2020-05-20 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking CMOS structure
US11355463B2 (en) 2020-05-20 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method
US11664350B2 (en) 2020-05-20 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11728254B2 (en) 2020-05-22 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Giga interposer integration through chip-on-wafer-on-substrate
US11694939B2 (en) 2020-05-22 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package, integrated optical communication system
US11244906B2 (en) 2020-05-22 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11404404B2 (en) 2020-05-27 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having photonic die and electronic die
US11264362B2 (en) 2020-05-28 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11233035B2 (en) 2020-05-28 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11515274B2 (en) 2020-05-28 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11502015B2 (en) 2020-05-28 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11456256B2 (en) 2020-05-28 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, stacked semiconductor device and manufacturing method of semiconductor device
US11393763B2 (en) 2020-05-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out (info) package structure and method
US11508633B2 (en) 2020-05-28 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having taper-shaped conductive pillar and method of forming thereof
US11443987B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside air gap dielectric
DE102020130962A1 (de) 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und herstellungsverfahren
US11894318B2 (en) 2020-05-29 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11450615B2 (en) 2020-06-12 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11296065B2 (en) 2020-06-15 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming same
US11631736B2 (en) 2020-06-15 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain feature with enlarged lower section interfacing with backside via
US11948918B2 (en) 2020-06-15 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution structure for semiconductor device and method of forming same
US11430776B2 (en) 2020-06-15 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacturing
US11552074B2 (en) 2020-06-15 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of fabricating the same
US11715755B2 (en) 2020-06-15 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for forming integrated high density MIM capacitor
US11581281B2 (en) 2020-06-26 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device and method of forming thereof
US11508666B2 (en) 2020-06-29 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US20210407966A1 (en) * 2020-06-29 2021-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11309242B2 (en) 2020-06-29 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package component, semiconductor package and manufacturing method thereof
US11552054B2 (en) 2020-06-29 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11373971B2 (en) * 2020-06-30 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11574853B2 (en) 2020-06-30 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11348874B2 (en) 2020-07-08 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and forming methods thereof
US11502056B2 (en) 2020-07-08 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Joint structure in semiconductor package and manufacturing method thereof
US11222867B1 (en) 2020-07-09 2022-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11587894B2 (en) 2020-07-09 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package and method of fabricating the same
US11322421B2 (en) 2020-07-09 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11450612B2 (en) 2020-07-09 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacturing the same
US11335666B2 (en) 2020-07-09 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11233005B1 (en) 2020-07-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing an anchor-shaped backside via
US11670601B2 (en) 2020-07-17 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking via structures for stress reduction
US11705378B2 (en) 2020-07-20 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US11270975B2 (en) 2020-07-21 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages including passive devices and methods of forming same
US11398422B2 (en) 2020-07-21 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and fabricating method thereof
US11646293B2 (en) 2020-07-22 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method
US11527518B2 (en) 2020-07-27 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Heat dissipation in semiconductor packages and methods of forming same
US11239136B1 (en) 2020-07-28 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Adhesive and thermal interface material on a plurality of dies covered by a lid
US11482649B2 (en) 2020-07-29 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method of semiconductor package
US11444002B2 (en) * 2020-07-29 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11355454B2 (en) 2020-07-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11605600B2 (en) 2020-08-06 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with reinforced element and formation method thereof
US11990443B2 (en) 2020-08-17 2024-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor die package and method of manufacture
US11778918B2 (en) 2020-08-20 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic memory cell with low-resistive electrode via and method of forming same
US11450626B2 (en) * 2020-08-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11532582B2 (en) 2020-08-25 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device package and method of manufacture
US11450581B2 (en) 2020-08-26 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11469197B2 (en) 2020-08-26 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
US11417582B2 (en) 2020-08-30 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11515276B2 (en) 2020-08-30 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, package structure, and manufacturing method of package structure
US11424213B2 (en) 2020-09-10 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure including a first surface mount component and a second surface mount component and method of fabricating the semiconductor structure
US11454888B2 (en) 2020-09-15 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11309291B2 (en) * 2020-09-20 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and manufacturing method thereof
US11868047B2 (en) 2020-09-21 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Polymer layer in semiconductor device and method of manufacture
US11378886B2 (en) 2020-09-29 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing resist layer, and method of manufacturing semiconductor
US11456223B2 (en) 2020-10-14 2022-09-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor stress monitoring structure and semiconductor chip
US11721603B2 (en) 2020-10-15 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan out method utilizing a filler-free insulating material
US11521905B2 (en) 2020-10-21 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11600562B2 (en) 2020-10-21 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of manufacturing the same
US11658119B2 (en) 2020-10-27 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside signal interconnection
US11940662B2 (en) 2020-10-27 2024-03-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11437332B2 (en) 2020-10-30 2022-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Package structure and method of manufacturing the same
US11521893B2 (en) 2020-10-30 2022-12-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11637072B2 (en) 2020-11-06 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11362009B2 (en) 2020-11-13 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11670581B2 (en) 2020-11-25 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure
US11640033B2 (en) 2021-01-04 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Optical device, optical system and method of forming the same
US11710712B2 (en) 2021-01-05 2023-07-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
US11830746B2 (en) 2021-01-05 2023-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11538761B2 (en) 2021-01-07 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package having molded die and semiconductor die and manufacturing method thereof
US11640936B2 (en) 2021-01-08 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of fabrication thereof
US11482497B2 (en) 2021-01-14 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure including a first die and a second die and a bridge die and method of forming the package structure
US11587887B2 (en) 2021-01-14 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11804468B2 (en) 2021-01-15 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Manufacturing method of semiconductor package using jig
US11742322B2 (en) 2021-01-20 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package having stress release structure
US11600592B2 (en) 2021-01-21 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package
US11728312B2 (en) 2021-01-22 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packaging and methods of forming same
US11682602B2 (en) 2021-02-04 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11996371B2 (en) 2021-02-12 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Chiplet interposer
US11728327B2 (en) 2021-02-12 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11756933B2 (en) 2021-02-12 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Inactive structure on SoIC
US11699631B2 (en) 2021-02-24 2023-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11721883B2 (en) 2021-02-25 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with antenna and method of forming the same
US11715723B2 (en) 2021-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer on wafer bonding structure
US11978715B2 (en) 2021-02-26 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with protective lid
US11817380B2 (en) 2021-02-26 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming same
US11557559B2 (en) 2021-02-26 2023-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11527457B2 (en) 2021-02-26 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with buffer layer embedded in lid layer
US11837567B2 (en) 2021-02-26 2023-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming thereof
US11587900B2 (en) 2021-02-26 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure including IPD and method of forming the same
US11791332B2 (en) 2021-02-26 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked semiconductor device and method
US11764127B2 (en) 2021-02-26 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11756873B2 (en) 2021-02-26 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11749643B2 (en) 2021-03-03 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11587916B2 (en) 2021-03-04 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11950432B2 (en) 2021-03-05 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of manufacturing the same
US11515268B2 (en) 2021-03-05 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11532596B2 (en) 2021-03-05 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11854987B2 (en) 2021-03-10 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with interconnection features in a seal region and methods for forming the same
US11756872B2 (en) 2021-03-11 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11664315B2 (en) 2021-03-11 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure with interconnection die and method of making same
US11594460B2 (en) 2021-03-11 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of fabricating the same
US11676942B2 (en) 2021-03-12 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of manufacturing the same
US11728275B2 (en) 2021-03-18 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11705343B2 (en) 2021-03-18 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method of forming thereof
US11715717B2 (en) 2021-03-18 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming integrated circuit packages having adhesion layers over through vias
US11756854B2 (en) 2021-03-18 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11823887B2 (en) 2021-03-19 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11616034B2 (en) 2021-03-19 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure, and method for forming thereof
US11809000B2 (en) 2021-03-19 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic integrated circuit and package structure
US11854927B2 (en) 2021-03-24 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming same
US11848246B2 (en) 2021-03-24 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11830796B2 (en) 2021-03-25 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit substrate, package structure and method of manufacturing the same
US11756924B2 (en) 2021-03-25 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor chip having strength adjustment pattern in bonding layer
US11574861B2 (en) 2021-03-25 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11830800B2 (en) 2021-03-25 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Metallization structure and package structure
US11487060B2 (en) 2021-03-25 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with nanostructures aligned with grating coupler and manufacturing method thereof
US11990351B2 (en) 2021-03-26 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11854944B2 (en) 2021-03-26 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11798897B2 (en) 2021-03-26 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and methods of manufacturing the same
US11842946B2 (en) 2021-03-26 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package having an encapsulant comprising conductive fillers and method of manufacture
US11915991B2 (en) 2021-03-26 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having first heat spreader and second heat spreader and manufacturing method thereof
US11823991B2 (en) 2021-03-26 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Frames stacked on substrate encircling devices and manufacturing method thereof
US11855011B2 (en) 2021-03-30 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11658134B2 (en) 2021-03-30 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Inductor structure, semiconductor package and fabrication method thereof
US11705384B2 (en) 2021-03-31 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Through vias of semiconductor structure and method of forming thereof
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts
US11756920B2 (en) 2021-04-09 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11594477B2 (en) 2021-04-15 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing semiconductor package
US11848372B2 (en) 2021-04-21 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for reducing source/drain contact resistance at wafer backside
US11676943B2 (en) 2021-04-23 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11764171B2 (en) 2021-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method
US11742323B2 (en) 2021-04-27 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US11764118B2 (en) 2021-04-29 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with protective lid
US11804445B2 (en) 2021-04-29 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming chip package structure
US11756870B2 (en) 2021-04-29 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked via structure disposed on a conductive pillar of a semiconductor die
US11791387B2 (en) 2021-04-30 2023-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with backside via and methods thereof
US11973005B2 (en) 2021-05-05 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Coplanar control for film-type thermal interface
US11961880B2 (en) 2021-05-06 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insulator-metal structure
US11694941B2 (en) 2021-05-12 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die package with multi-lid structures and method for forming the same
US11984378B2 (en) 2021-05-13 2024-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package structure and method for forming the same
US11901349B2 (en) 2021-05-13 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11855003B2 (en) 2021-05-13 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11768338B2 (en) 2021-05-27 2023-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Optical interconnect structure, package structure and fabricating method thereof
US11705381B2 (en) 2021-06-04 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. High efficiency heat dissipation using thermal interface material film
US11721643B2 (en) 2021-06-17 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11705406B2 (en) 2021-06-17 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method for forming the same
US11855004B2 (en) 2021-06-17 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11594479B2 (en) 2021-06-18 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11804433B2 (en) 2021-06-18 2023-10-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for forming the same
US11810847B2 (en) 2021-06-24 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11756801B2 (en) 2021-07-08 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Stencil structure and method of fabricating package
US11855057B2 (en) 2021-07-08 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11978697B2 (en) * 2021-07-16 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11715646B2 (en) 2021-07-16 2023-08-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11869822B2 (en) 2021-07-23 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11823980B2 (en) 2021-07-29 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11855006B2 (en) 2021-07-29 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, package structure and fabricating method thereof
US11967591B2 (en) 2021-08-06 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Info packages including thermal dissipation blocks
US11984422B2 (en) 2021-08-06 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming same
US11915994B2 (en) 2021-08-12 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure comprising a semiconductor die with a thermoelectric structure and manufacturing method thereof
US11916025B2 (en) 2021-08-13 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device die and method for fabricating the same
US11929293B2 (en) 2021-08-19 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with lid structure
US11848234B2 (en) 2021-08-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method comprising formation of redistribution structure and interconnecting die
US11823981B2 (en) 2021-08-27 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11862549B2 (en) 2021-08-27 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having conductive patterns of redistribution structure having ellipse-like shape
US11854964B2 (en) 2021-08-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with conductive bumps
US11978722B2 (en) 2021-08-27 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package containing chip structure with inclined sidewalls
US11996345B2 (en) 2021-08-27 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11854928B2 (en) 2021-08-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11935761B2 (en) 2021-08-27 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming thereof
US11990440B2 (en) 2021-08-27 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with conductive bumps
US11784130B2 (en) 2021-08-27 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package with underfill
US11715731B2 (en) 2021-08-29 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11854929B2 (en) 2021-08-30 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US11935760B2 (en) 2021-08-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having thermal dissipation structure therein and manufacturing method thereof
US11855058B2 (en) 2021-08-30 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11791371B2 (en) 2021-08-30 2023-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Resistor structure
US11817413B2 (en) 2021-08-30 2023-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package structure comprising via structure and redistribution layer structure and method for forming the same
US11594420B1 (en) 2021-08-30 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11901230B2 (en) 2021-08-30 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11676916B2 (en) 2021-08-30 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package with warpage-control element
US11942451B2 (en) 2021-08-30 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US11935871B2 (en) 2021-08-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of fabricating the same
US11996342B2 (en) 2021-08-30 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package comprising heat dissipation plates
US11676826B2 (en) 2021-08-31 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die package with ring structure for controlling warpage of a package substrate
US11908764B2 (en) 2021-08-31 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including a circuit substrate having a cavity and a floor plate embedded in a dielectric material and a semiconductor die disposed in the cavity
US11901256B2 (en) 2021-08-31 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, semiconductor package, and methods of manufacturing the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090283888A1 (en) * 2008-05-16 2009-11-19 A Leam Choi Package system incorporating a flip-chip assembly
US20110304349A1 (en) * 2010-06-11 2011-12-15 Texas Instruments Incorporated Lateral coupling enabled topside only dual-side testing of tsv die attached to package substrate
US20130052760A1 (en) * 2011-08-26 2013-02-28 Samsung Electronics Co., Ltd. Method of inspecting and manufacturing a stack chip package
CN103165479A (zh) * 2013-03-04 2013-06-19 江苏物联网研究发展中心 多芯片系统级封装结构的制作方法
TW201351522A (zh) * 2012-06-15 2013-12-16 Powertech Technology Inc 已單離晶粒堆疊封裝件之晶圓級測試方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4910512B2 (ja) 2006-06-30 2012-04-04 富士通セミコンダクター株式会社 半導体装置および半導体装置の製造方法
JP5577965B2 (ja) * 2010-09-02 2014-08-27 ソニー株式会社 半導体装置、および、その製造方法、電子機器
JP5986499B2 (ja) * 2012-12-21 2016-09-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9070644B2 (en) * 2013-03-15 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging mechanisms for dies with different sizes of connectors
KR102258743B1 (ko) * 2014-04-30 2021-06-02 삼성전자주식회사 반도체 패키지의 제조 방법, 이에 의해 형성된 반도체 패키지 및 이를 포함하는 반도체 장치
US9733304B2 (en) * 2014-09-24 2017-08-15 Micron Technology, Inc. Semiconductor device test apparatuses

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090283888A1 (en) * 2008-05-16 2009-11-19 A Leam Choi Package system incorporating a flip-chip assembly
US20110304349A1 (en) * 2010-06-11 2011-12-15 Texas Instruments Incorporated Lateral coupling enabled topside only dual-side testing of tsv die attached to package substrate
US20130052760A1 (en) * 2011-08-26 2013-02-28 Samsung Electronics Co., Ltd. Method of inspecting and manufacturing a stack chip package
TW201351522A (zh) * 2012-06-15 2013-12-16 Powertech Technology Inc 已單離晶粒堆疊封裝件之晶圓級測試方法
CN103165479A (zh) * 2013-03-04 2013-06-19 江苏物联网研究发展中心 多芯片系统级封装结构的制作方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109148357A (zh) * 2017-06-28 2019-01-04 中华精测科技股份有限公司 测试接口板组件及其制造方法
CN109148357B (zh) * 2017-06-28 2021-12-17 台湾中华精测科技股份有限公司 测试接口板组件及其制造方法

Also Published As

Publication number Publication date
US9653427B2 (en) 2017-05-16
KR101753458B1 (ko) 2017-07-03
US20150228550A1 (en) 2015-08-13
KR20150095553A (ko) 2015-08-21
US20160172333A1 (en) 2016-06-16
US9281254B2 (en) 2016-03-08
CN104851814B (zh) 2018-06-08

Similar Documents

Publication Publication Date Title
CN104851814A (zh) 集成电路封装件及其形成方法
US9985005B2 (en) Chip package-in-package
KR101476894B1 (ko) 다중 다이 패키징 인터포저 구조 및 방법
CN202534641U (zh) 已封装电子器件
US7723831B2 (en) Semiconductor package having die with recess and discrete component embedded within the recess
US7344917B2 (en) Method for packaging a semiconductor device
US7863735B1 (en) Integrated circuit packaging system with a tiered substrate package and method of manufacture thereof
KR101194842B1 (ko) 반도체 패키지가 삽입된 인쇄회로기판
CN109427745A (zh) 半导体结构及其制造方法
KR101904409B1 (ko) 적층된 마이크로전자 유닛이 있는 마이크로전자 패키지 및 그의 제조 방법
CN103208482B (zh) 通孔组件模块及其形成方法
US10784202B2 (en) High-density chip-to-chip interconnection with silicon bridge
CN103779235A (zh) 扇出晶圆级封装结构
US9202742B1 (en) Integrated circuit packaging system with pattern-through-mold and method of manufacture thereof
US9324633B2 (en) Multi-level package assembly having conductive vias coupled to chip carrier for each level and method for manufacturing the same
US9799628B2 (en) Stacked package configurations and methods of making the same
CN206259351U (zh) 电子设备
US7847386B1 (en) Reduced size stacked semiconductor package and method of making the same
TWI555101B (zh) 封裝結構及其製法
JP4635901B2 (ja) モジュールパッケージ
US9134366B2 (en) Method for forming a packaged semiconductor device
JPH0672242U (ja) マルチチップモジュール

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant