CN104620364A - 用于沟槽侧壁平坦化的硅蚀刻的方法 - Google Patents

用于沟槽侧壁平坦化的硅蚀刻的方法 Download PDF

Info

Publication number
CN104620364A
CN104620364A CN201380047346.0A CN201380047346A CN104620364A CN 104620364 A CN104620364 A CN 104620364A CN 201380047346 A CN201380047346 A CN 201380047346A CN 104620364 A CN104620364 A CN 104620364A
Authority
CN
China
Prior art keywords
gas
plasma
groove
sidewall
semiconductor crystal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380047346.0A
Other languages
English (en)
Other versions
CN104620364B (zh
Inventor
K·余
A·库玛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN104620364A publication Critical patent/CN104620364A/zh
Application granted granted Critical
Publication of CN104620364B publication Critical patent/CN104620364B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00619Forming high aspect ratio structures having deep steep walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明描述用于沟槽侧壁平坦化的硅蚀刻的方法。在一个实施例中,一种方法涉及经由等离子体蚀刻平坦化半导体晶圆中形成的沟槽的侧壁。该方法包括利用自氟气产生的等离子体定向蚀刻半导体晶圆以平坦化沟槽的侧壁,该沟槽具有由诸如氧或聚合气体的第二处理气体产生的等离子体形成的保护层。在另一实施例中,一种方法涉及蚀刻半导体晶圆以产生具有平坦侧壁的沟槽。该方法包括以下步骤:利用包括氟气的一或更多种第一处理气体等离子体蚀刻半导体晶圆;利用包括氟气及聚合气体混合物的一或更多种第二处理气体同时执行沉积及等离子体蚀刻半导体晶圆;及利用包括聚合气体的一或更多种第三处理气体执行沉积。

Description

用于沟槽侧壁平坦化的硅蚀刻的方法
相关申请案的交叉引用
本申请案主张于2012年8月27日提出申请的标题为“METHOD OF SILICONETCH FOR TRENCH SIDEWALL SMOOTHING”的美国临时申请案第61/693,601号及于2013年3月8日提出申请的标题为“METHOD OF SILICON ETCH FORTRENCH SIDEWALL SMOOTHING”的美国临时申请案第61/775,135号的优先权权益,上述申请案出于所有目的以全文引用的方式并入本文。
背景
1)技术领域
本发明的实施例是关于半导体处理的领域,且特定言之,是关于用于沟槽侧壁平坦化的硅蚀刻的方法。
2)相关技术的描述
对具有较高效能及增加特征的较小且较轻电子装置的需求推动了设计有直通硅穿孔(through silicon via;TSV)的三维(3D)集成电路(integrated circuits;IC)的采用。TSV是穿过半导体晶圆中诸层的电气连接。尽管对TSV的关注增加,但是对TSV的采用已减缓,因为(例如)存在高成本及与大批量制造相关联的挑战。一个此类挑战包括产生具有平坦侧壁的TSV沟槽。具有平坦侧壁的沟槽大体上更加稳固且可有效地用诸如介电质及金属的材料填充该等沟槽。相比之下,具有粗糙侧壁(例如,扇形侧壁)的沟槽可造成无效的填充,导致良率下降及长期装置可靠性问题。不幸的是,TSV蚀刻的现有方法产生具有粗糙侧壁的沟槽及/或对大批量制造不实用。影响对TSV的采用的另一因素包括执行等离子体蚀刻的成本,该成本受(例如)总硅蚀刻速率的影响。
发明内容
本发明的一或更多个实施例是针对用于沟槽侧壁平坦化的硅蚀刻的方法。在一个实施例中,一种经由等离子体蚀刻平坦化半导体晶圆中形成的沟槽侧壁的方法涉及在处理腔室中利用基座支撑半导体晶圆。该方法包括将氟气及第二气体引入处理腔室。在一个实施例中,第二气体为氧。在另一实施例中,第二气体为聚合气体。该方法包括输送功率至处理腔室以自氟气及第二气体产生等离子体,自第二气体产生的等离子体在沟槽的侧壁上形成保护层。该方法进一步包括利用自氟气产生的等离子体定向蚀刻半导体晶圆,以平坦化具有保护层的沟槽的侧壁。
在一个实施例中,一种用于平坦化半导体晶圆中经由等离子体蚀刻形成的沟槽侧壁的等离子体蚀刻系统包括等离子体蚀刻腔室及在等离子体蚀刻腔室中支撑半导体晶圆的基座。该系统包括一或多种气体源,以将氟气及第二气体引入等离子体蚀刻腔室。该系统包括电源,以输送功率至等离子体蚀刻腔室以自氟气及第二气体产生等离子体,自第二气体产生的等离子体在半导体晶圆中的沟槽的侧壁上形成保护层。等离子体蚀刻腔室将利用自氟气产生的等离子体定向蚀刻半导体晶圆以平坦化具有保护层的沟槽的侧壁。
在一个实施例中,一种蚀刻半导体晶圆以产生具有平坦侧壁的沟槽的方法涉及利用包含氟气的一或更多种第一处理气体等离子体蚀刻半导体晶圆。该方法包括利用包含氟气及聚合气体的一或更多种第二处理气体同时执行沉积及等离子体蚀刻半导体晶圆。该方法涉及利用包含聚合气体的一或更多种第三处理气体执行沉积。
附图说明
图1为图示具有不同侧壁粗糙度的TSV样本的漏电流的温度相依性的现有技术图。
图2为根据一实施例经由等离子体蚀刻平坦化在半导体晶圆中形成的沟槽侧壁的方法的流程图。
图3为根据一实施例在遮罩移除之后执行的侧壁平坦化前后沟槽侧壁轮廓的影像。
图4为根据一实施例在遮罩移除之后执行的侧壁平坦化前后沟槽侧壁轮廓的影像。
图5为根据一实施例在遮罩移除之前执行的侧壁平坦化之后沟槽侧壁轮廓的影像。
图6为根据一实施例在沟槽形成期间平坦化沟槽侧壁的方法的流程图。
图7图示根据一实施例图示在沟槽形成期间用于原位扇形移除的标准化沉积/蚀刻气体比率及沉积/蚀刻时间比率的图形。
图8为根据一实施例以不同沉积/蚀刻步骤时间产生的沟槽侧壁轮廓的影像。
图9为根据一实施例的具有垂直及锥形轮廓及平坦侧壁的沟槽的影像。
图10为图示根据一实施例针对有扇形与无扇形的穿孔沟槽的标准化比较处理时间的图形。
图11图示根据本发明的一实施例可执行用于沟槽侧壁平坦化的硅蚀刻的方法的系统。
图12图示根据本发明的一实施例的示例性电脑系统的方块图。
具体实施方式
本发明描述用于产生平坦沟槽侧壁的硅蚀刻的系统及方法。“平坦侧壁”指实质上平坦且无大扇形或其他粗糙特征的侧壁。“平坦侧壁”仍可包括一些粗糙度,诸如小扇形(例如,具有小于约5nm的大小的扇形)。根据实施例,本文所描述的蚀刻的方法可事实上消除扇形而不影响其他轮廓属性及不实质上影响处理时间。本发明的一些实施例是关于在沟槽形成之后平坦化粗糙沟槽侧壁,而其他实施例是关于在沟槽形成期间产生平坦沟槽侧壁。举例而言,在一个实施例中,方法包括定向蚀刻半导体晶圆或硅基板以平坦化使用导致粗糙侧壁的Bosch工艺或其他蚀刻工艺产生的硅沟槽的粗糙侧壁。定向蚀刻硅可包括在蚀刻侧壁以移除扇形的同时自氟气及另一气体产生等离子体,该等离子体在沟槽的侧壁上形成保护层。
在另一实施例中,利用一工艺产生具有平坦侧壁的沟槽,该工艺包括利用包括氟气及聚合气体的处理气体同时执行沉积及等离子体蚀刻。该等技术可使得能够针对不期望粗糙侧壁的任何应用产生具有平坦侧壁的沟槽。举例而言,本发明的实施例可使得对于介电质及金属材料填充更加稳固的TSV沟槽能够改良良率及长期可靠性。
在以下描述中,阐述了众多具体细节(诸如具体等离子体处理),以便提供对本发明的实施例的透彻理解。对本领域技术人员将显而易见的是,可无需该等具体细节实践本发明的实施例。在其他情况下,并未详细描述诸如用于光阻剂遮罩形成的光刻图案化及显影技术的公知方面,以免不必要地模糊本发明的实施例。下文所描述的实例大体上是关于蚀刻硅基板,然而,本发明的实施例可用于在其他材料基板中产生平坦沟槽壁。此外,应理解,图式中所示的各种实施例为说明性表示且不必按比例绘制。
图1为图示具有不同侧壁粗糙度的TSV样本的漏电流的温度相依性的现有技术图。图1中的图形101包括来自以下论文的数据:“Comparative study of side-wallroughness effects on leakage currents in through-silicon via interconnects(直通硅穿孔互连中漏电流上的侧壁粗糙度效应的比较性研究)”,作者:Nakamura,T.;Kitada,H.;Mizushima,Y.;Maeda,N.;Fujimoto,K.;及Ohba,T.,3D Systems IntegrationConference(3DIC),2011IEEE International,vol.,no.,第1页、第4页,2012年1月31日至2012年2月2日。图形101图示自样本所量测的漏电流,该等样本包括以四乘四排列及偏压为10V的多个TSV。线102拟合至针对具有扇形壁(诸如沟槽105的扇形侧壁)的TSV收集的数据点。由Bosch工艺产生对应于线102的沟槽105。通常Bosch工艺包括蚀刻期,随后为沉积期。举例而言,定向轰击蚀刻及/或各向同性化学蚀刻之后可为沉积期(例如,聚合物沉积)。蚀刻期及沉积期明显独立且经描绘,且重复两者直至实现期望的蚀刻程度(例如,直至实现期望的沟槽深度)。Bosch工艺通常用于TSV沟槽形成,因为该工艺可允许高深宽比的轮廓角。Bosch工艺亦可允许底部临界尺寸调谐能力及可具有对光阻剂的高选择性。不幸的是,Bosch工艺通常导致如沟槽105所图示的扇形侧壁。
线104拟合至针对具有平坦壁(诸如沟槽107的平坦侧壁)的TSV收集的数据点。利用非Bosch干式蚀刻工艺(例如,低温干式蚀刻)产生平坦侧壁沟槽107,在该工艺中利用SF6及O2气体将硅基板维持在低温(约-100℃)下以蚀刻硅。尽管利用低温干式蚀刻实现了具有平坦侧壁的沟槽,但是低温干式蚀刻为使用蚀刻设备的缓慢干式蚀刻工艺,对于大规模制造可能不实用。低温干式蚀刻亦非侧壁角控制工艺。
自图1的图形101可见,具有扇形侧壁的TSV(对应于线102)似乎显示出比具有较平坦侧壁的TSV(对应于线104)更高的漏电流。亦应注意,针对具有扇形侧壁的TSV收集的数据具有更大的总体变化性,以及具有扇形侧壁的TSV的漏电流比具有平坦侧壁的TSV的漏电流随温度变化而变化更多。
应特别注意,用于收集图形101中的数据的扇形大小与一些工业标准扇形目标(例如,~30nm)比较相对较大(>70nm)。然而,类似结果很可能发生在具有较小扇形(诸如扇形在30nm范围内)的TSV上。因此,图1图示为何平坦沟槽侧壁对于TSV可为理想情况的实例。以下图式将论述用于产生平坦沟槽侧壁的系统及方法。
图2为根据一实施例经由等离子体蚀刻平坦化半导体晶圆中形成的沟槽侧壁的方法的流程图。由方法200所说明的实施例涉及沟槽形成后从沟槽侧壁上消除扇形。预先形成的沟槽可包括例如TSV沟槽。
方法200以在操作205处在处理腔室中将半导体晶圆支撑在基座上开始。半导体晶圆具有沟槽,该沟槽具有待平坦化的粗糙侧壁。举例而言,Bosch工艺可能已于半导体晶圆中形成沟槽,导致扇形沟槽侧壁。在操作210处,该方法包括将氟气及第二气体引入腔室。
在操作215处,该方法包括输送功率至腔室以产生等离子体。在一个实施例中,输送功率至处理腔室涉及施加RF电源至等离子体源(例如,处理腔室中的电感耦合等离子体(inductively coupled plasma;ICP)线圈)及利用数个RF功率脉冲偏压半导体晶圆。在一个实施例中,施加至等离子体源的RF电源处于~500瓦特至3000瓦特范围内。根据另一实施例,施加至等离子体源的RF电源处于~500瓦特至2000瓦特范围内。偏压半导体晶圆可涉及施加处于~50瓦特至1000瓦特范围内的数个功率脉冲且可具有正极性或负极性。在一个实施例中,偏压半导体晶圆涉及施加各处于~500瓦特范围内的数个功率脉冲。
自第二气体产生的等离子体将在沟槽侧壁上形成保护层。第二气体的化学组成(及待在沟槽侧壁上形成的保护层的化学组成)可取决于例如遮罩层是否安置于半导体晶圆上方。安置于半导体晶圆上方的遮罩层可为光阻剂遮罩(例如,聚酰亚胺(Polyimide;PI))、氧化物遮罩或任何其他蚀刻遮罩,以在蚀刻工艺期间保护晶圆。因此,在扇形移除之前移除遮罩层的实施例中可使用与在扇形移除之前不移除遮罩层的实施例中不同的化学品。在扇形移除之前移除遮罩层的一个实施例中,引入处理腔室中的第二气体包括氧气。在扇形移除之前不移除遮罩层的另一实施例中,引入处理腔室中的第二气体包括聚合气体,诸如(例如)氟化碳气体。所使用的氟化碳气体可包括例如CHF3、C2F6、C3F8或C4F8
在操作220处,该方法包括利用自氟气产生的等离子体定向蚀刻半导体晶圆,以平坦化具有保护层的沟槽的侧壁。保护层的组成取决于第二气体的组成。自氧气产生的等离子体可在沟槽的侧壁上形成在蚀刻工艺期间保护侧壁的氧化硅层。自聚合气体产生的等离子体可在沟槽的侧壁上形成聚合物层(例如,CF2)。利用自氟气产生的等离子体定向蚀刻该半导体晶圆可涉及从具有保护层的沟槽的侧壁上移除扇形。
如上文关于方法200的操作210及操作215所指示,引入处理腔室用于沟槽形成后平坦化的气体混合物可取决于是在遮罩移除之前还是之后执行平坦化工艺。图3为根据一实施例在遮罩移除之后执行的侧壁平坦化前后沟槽侧壁轮廓的影像。影像310显示在300mm晶圆中利用Bosch工艺产生的沟槽。如影像350中所示,此实例中的沟槽为94μm深。自影像310明显可见,Bosch工艺产生了具有扇形侧壁的沟槽。影像330显示在遮罩移除之后执行的平坦化工艺(例如,遮罩移除之后的中度快闪(mild flash)蚀刻在保留穿孔轮廓的同时移除侧壁扇形)之后与影像310中相同的沟槽。图4为根据一实施例在遮罩移除之后执行的侧壁平坦化前后沟槽侧壁轮廓的另一视图。影像410显示在遮罩移除之前具有扇形的沟槽的侧壁轮廓,且影像420显示在遮罩移除之后执行的扇形消除后的沟槽。在沟槽形成后及在遮罩移除之后平坦化侧壁的此方法可提供用于移除扇形的快速且有效工艺,但是若需要保留场氧化物则可能具有限制。
图5为根据一实施例在遮罩移除之前执行的侧壁平坦化之后沟槽侧壁轮廓的影像。类似于图3及图4中的影像,图5中的影像显示在300mm晶圆中产生的94μm深的沟槽。影像510、影像520及影像530为在遮罩移除之前执行的平坦化工艺之后的沟槽影像。影像510及影像520为影像530的沟槽的顶部及底部的放大影像。自图5中的影像可见,沟槽为平坦且无扇形的。在此方法中,在剥离遮罩之前实行扇形消除,可在平坦化扇形的同时保护场氧化物。使用化学品的混合物同时移除侧壁聚合物及平坦化扇形。此方法可需要在半导体晶圆上方的较厚的遮罩,因为在平坦化工艺期间可消耗遮罩的一部分。
如上文所论述,图2至图5及对应描述是关于沟槽形成之后平坦化沟槽侧壁的实施例。在其他实施例中,在沟槽形成期间平坦化沟槽侧壁,诸如通过图6中图示的方法。
图6为根据一实施例在沟槽形成期间平坦化沟槽侧壁的方法的流程图。图6的方法600以在操作605处利用包括氟气的一或更多种处理气体等离子体蚀刻半导体晶圆开始。在操作610处,该方法包括利用包括氟气及聚合气体的气体混合物同时沉积及蚀刻半导体晶圆。
在操作615处,使用包括聚合气体的一或多种气体执行沉积。在操作610及操作615中所使用的聚合气体可包括氟化碳气体,诸如例如CHF3、C2F6、C3F8或C4F8。在一个实施例中,包括蚀刻期、同时沉积与蚀刻期及沉积期的操作605、操作610及操作615经重复执行以在半导体晶圆中产生具有平坦侧壁的沟槽。在蚀刻至期望深度后可终止该重复。不同于仅包括清楚描绘的蚀刻期及沉积期的典型Bosch工艺,方法600包括执行沉积与蚀刻两者的周期(在操作610处)。因此,在此方法中,可贯穿蚀刻工艺实现扇形的受控平坦化及维持侧壁钝化,以使得不存在来自蚀刻物种的侧壁侵蚀以防止顶部底切或侧壁损坏。
众多工艺参数可影响沟槽是否具有扇形及扇形大小,该等工艺参数诸如等离子体源功率、偏压功率、气流速率及压力。详言之,根据实施例,可仔细平衡操作610中沉积与蚀刻气体的比率及沉积与蚀刻步骤时间的比率以实现无扇形轮廓,而不产生顶部上的底切。图7图示在关于图6的方法600所描述的蚀刻期间用于原位扇形移除的标准化沉积/蚀刻气体比率及沉积/蚀刻时间比率的实例。图形710中所示的沉积/蚀刻气体比率可指示方法600的操作610中所使用的聚合气体与氟气的比率。图形720中所示的沉积/蚀刻步骤时间比率可指示方法600的操作的步骤时间。根据一实施例,沉积/蚀刻步骤时间比率可自2.0至0.5变化及可取决于其他等离子体参数。如图形710及图形720中可见,沉积/蚀刻气体比率及沉积/蚀刻步骤时间可决定沟槽是有扇形还是无扇形。
图8为根据一实施例以不同沉积/蚀刻步骤时间产生的沟槽侧壁轮廓的影像。图8的影像810显示具有小扇形的沟槽,该等沟槽在使用较低沉积/蚀刻步骤时间(例如,图7中图示的针对具有扇形的沟槽的沉积/蚀刻步骤时间比率)的蚀刻期间形成。影像820显示利用较高沉积/蚀刻步骤时间比率(例如,图7中图示的针对无扇形的沟槽的比率)以及使用最佳源与偏压功率比率形成的无扇形沟槽。因此,通过使用包括以适当比率的氟气与聚合气体执行同时沉积与蚀刻周期的蚀刻工艺可为诸如TSV的应用产生具有平坦侧壁的沟槽。
图9为根据一实施例的具有垂直及锥形轮廓及平坦侧壁的沟槽的影像。可使用上文所描述的本发明的实施例产生具有垂直侧壁轮廓或锥形侧壁轮廓的沟槽。举例而言,沟槽形成后或沟槽形成期间发生平坦化的实施例可经调整以实现影像910及影像920中的轮廓。影像910及影像920显示具有高深宽比(例如,>100μm)及平坦侧壁的穿孔沟槽。影像910图示具有垂直轮廓的沟槽。垂直轮廓归因于顶部临界尺寸与底部临界尺寸大致相同(例如,如图所示,顶部临界尺寸与底部临界尺寸两者皆为9.5μm)。影像920图示具有锥形轮廓的沟槽。锥形轮廓归因于底部临界尺寸小于顶部临界尺寸(例如,如图所示,顶部临界尺寸为9.5μm,而底部临界尺寸为4.5μm)。因此,本发明的实施例可产生具有平坦侧壁的沟槽,该等沟槽具有垂直轮廓或锥形轮廓。
图10为图示根据一实施例针对有扇形与无扇形的穿孔沟槽的标准化比较处理时间的图形。图形1000图示针对具有10μm x 100μm的深宽比的沟槽的相对处理时间,该深宽比可为难以实现的深宽比。针对其他深宽比的处理时间可为相似的,或低深宽比沟槽的处理时间甚至更少。可利用上文所描述的方法实现如图形1000中所示的无扇形沟槽的处理时间。总TSV蚀刻速率可受例如聚合物沉积速率、聚合物移除速率、硅蚀刻速率及蚀刻/沉积持续时间的影响。因此,总蚀刻速率可受例如穿孔沟槽底部的离子轰击及反应性自由基浓度或通量的影响。至穿孔沟槽底部的此质量传送取决于气流状态。可根据克努森(Knudsen)数对气流状态分类,由以下运算式提供克努森数:
Kn = λ d
其中d为特征尺寸及λ为平均自由径。如图形1000中所图示,可实现无扇形沟槽而对总工艺时间的影响最小,且因此可为TSV的大批量制造提供成本有效的蚀刻解决方案。根据一实施例,产生无扇形沟槽所需的额外时间比在10μm x 100μm大小的TSV特征上具有30nm扇形的轮廓多出大约10%至15%。
可在适合于提供接近于蚀刻样本的蚀刻等离子体的处理设备中进行用于沟槽侧壁平坦化的硅蚀刻的方法。举例而言,图11图示根据本发明的一实施例可执行用于沟槽侧壁平坦化的硅蚀刻的方法的系统。
参看图11,用于进行等离子体蚀刻工艺的系统1100包括配备样本固持器1104的腔室1102。腔室可包括用于执行蚀刻(例如,各向同性及/或各向异性蚀刻)及/或沉积的等离子体蚀刻腔室。在一个实施例中,腔室1102为由应用材料公司(Applied Materials,Inc.)制造的SilviaTM TSV等离子体蚀刻腔室。样本固持器1104可为在等离子体蚀刻及沉积期间支撑半导体晶圆的基座。将抽空装置1106、气体入口装置1108及等离子体点火装置1110与腔室1102耦接。气体入口装置1108可包括一或多种气体源以将处理气体引入腔室。举例而言,气体入口装置1108可将氟气、聚合气体、氧气及/或其他气体引入处理腔室。将计算装置1112与等离子体点火装置1110耦接。系统1100可另外包括与样本固持器1104耦接的电压源1114及与腔室1102耦接的检测器1116。电压源1114可产生RF脉冲以如上文所描述偏压安置于样本固持器1104上方的半导体。亦可将计算装置1112与抽空装置1106、气体入口装置1108、电压源1114及检测器1116耦接,如图11所图示。
腔室1102及样本固持器1104可包括反应腔室与样本定位装置,该装置适合于含有离子化气体(亦即,等离子体)及将样本移动接近由该装置射出的离子化气体或带电荷物种。抽空装置1106可为适合于抽空及减压腔室1102的装置。气体入口装置1108可为适合于注射反应气体至腔室1102中的装置。等离子体点火装置1110可为适合于对从由气体入口装置1108注入腔室1102的反应气体得到的等离子体点火的装置。检测装置1116可为适合于检测处理操作的终点的装置。在一个实施例中,系统1100包括腔室1102、样本固持器1104、抽空装置1106、气体入口装置1108、等离子体点火装置1110及检测器1116,与Applied AdvantEdge系统上所使用的蚀刻腔室或相关腔室相似或相同。
图12图示为电脑系统1200的示例性形式的机器的图解表示,在该电脑系统内部可执行用于使得该机器执行本文所论述的方法中的任一或更多者的指令集。在替代实施例中,可将机器连接(例如,网络连接)至在局域网(Local Area Network;LAN)、内联网、外联网或互联网中的其他机器。可在客户端-服务器网络环境中作为服务器或客户端机器操作该机器,或在对等(或分布式)网络环境中作为对等点机器操作。该机器可为个人电脑(personal computer;PC)、平板电脑PC、机顶盒(set-top box;STB)、个人数字助理(Personal Digital Assistant;PDA)、蜂窝式电话、网络设备、服务器、网络路由器、交换机或桥接器或者能够执行指定该机器做出动作的指令集(连续或其他)的任何机器。进一步,尽管仅图示单一机器,但是术语“机器”亦应包括机器(例如,电脑)的任何集合,该等机器个别地或共同地执行一个(或多个)指令集以执行本文所论述的方法中的任一或更多者。在一个实施例中,电脑系统1200适合于用作与图11相关联所描述的计算装置1112。
示例性电脑系统1200包括处理器1202、主存储器1204(例如,只读存储器(read-only memory;ROM)、快闪存储器、诸如同步DRAM(synchronous DRAM;SDRAM)或Rambus DRAM(RDRAM)的动态随机存取存储器(dynamic randomaccess memory;DRAM)等等)、静态存储器1206(例如,快闪存储器、静态随机存取存储器(static random access memory;SRAM)等等)及次级存储器1218(例如,数据储存装置),该等装置经由总线1230彼此通讯。
处理器1202表示一或更多个通用处理装置,诸如微处理器、中央处理单元或类似装置。更特定言之,处理器1202可为复杂指令集计算(complex instruction setcomputing;CISC)微处理器、精简指令集计算(reduced instruction set computing;RISC)微处理器、超长指令字(very long instruction word;VLIW)微处理器、实施其他指令集的处理器或实施指令集的组合的处理器。处理器1202亦可为一或更多个专用处理装置,诸如专用集成电路(application specific integrated circuit;ASIC)、现场可编程门阵列(field programmable gate array;FPGA)、数字信号处理器(digital signalprocessor;DSP)、网络处理器或类似装置。配置处理器1202以执行处理逻辑1226用于执行本文所论述的操作。
电脑系统1200可进一步包括网络接口装置1208。电脑系统1200亦可包括视频显示单元1210(例如,液晶显示器(liquid crystal display;LCD)或阴极射线管(cathode ray tube;CRT))、文数输入装置1212(例如,键盘)、光标控制装置1214(例如,鼠标)及信号产生装置1216(例如,扬声器)。
次级存储器1218可包括机器可存取存储介质(或更具体而言电脑可读取存储介质)1231,于该介质上储存体现本文所描述的方法或功能中的任一或更多者的一或更多个指令集(例如,软件1222)。在由电脑系统1200执行软件1222期间,该软件亦可完全或至少部分地常驻于主存储器1204内及/或处理器1202内,主存储器1204及处理器1202亦组成机器可读取存储介质。可进一步经由网络接口装置1208在网络1220中传输或接收软件1222。
尽管在一示例性实施例中将机器可存取存储介质1231图示为单一介质,但是术语“机器可读取存储介质”应包括储存一或更多个指令集的单一介质或多个介质(例如,集中式或分布式数据库及/或相关联高速缓存及服务器)。术语“机器可读取存储介质”亦应包括能够储存或编码由机器执行的指令集及使得机器执行本发明的方法中的任一或更多者的任何介质。因此,术语“机器可读取存储介质”应包括(但不限于)固态存储器、光学及磁性介质及其他非暂态存储介质。
因此,本发明已揭示用于沟槽侧壁平坦化的硅蚀刻的方法。本发明的实施例使得TSV蚀刻能够更加稳固及可控。上文所描述的示例性方法可用各种材料实现TSV沟槽的容易且成本有效的填充。

Claims (15)

1.一种经由等离子体蚀刻平坦化半导体晶圆中形成的沟槽的侧壁的方法,该方法包含以下步骤:
在处理腔室中利用基座支撑该半导体晶圆;
将氟气及第二气体引入该处理腔室;
输送功率至该处理腔室以自该氟气及该第二气体产生等离子体,自该第二气体产生的该等离子体在该沟槽的该侧壁上形成保护层;以及
利用自该氟气产生的该等离子体定向蚀刻该半导体晶圆以平坦化具有该保护层的该沟槽的该侧壁。
2.如权利要求1所述的方法,其特征在于:
将该第二气体引入该处理腔室的步骤包含将氧气引入该处理腔室,及其中自该氧气产生的该等离子体在该沟槽的该侧壁上形成氧化硅层。
3.如权利要求1所述的方法,其特征在于:
该半导体晶圆包括遮罩层;以及
将该第二气体引入该处理腔室的步骤包含引入聚合气体,及其中自该聚合气体产生的该等离子体在该沟槽的该侧壁上形成聚合物层。
4.如权利要求3所述的方法,其特征在于,将该聚合气体引入该处理腔室的步骤包含引入氟化碳气体。
5.如权利要求4所述的方法,其特征在于
在该沟槽的该侧壁上形成该保护层的该氟化碳气体包含CHF3、C2F6、C3F8或C4F8
6.如权利要求1所述的方法,其特征在于,输送该功率至该处理腔室的步骤包含施加RF电源至等离子体源及利用数个RF功率脉冲偏压该半导体晶圆。
7.如权利要求6所述的方法,其特征在于,施加该RF电源的步骤包含施加处于500瓦特至3000瓦特的范围内的功率至该等离子体源,及其中偏压该半导体晶圆的步骤包含利用各处于50瓦特至1000瓦特的第二范围内的数个功率脉冲偏压该半导体晶圆。
8.如权利要求1所述的方法,其特征在于,该沟槽的该侧壁包含扇形,及其中利用自该氟气产生的该等离子体定向蚀刻该半导体晶圆的步骤包含从具有该保护层的该沟槽的该侧壁移除该等扇形。
9.如权利要求8所述的方法,其特征在于,在该半导体晶圆中形成的该沟槽包含直通硅穿孔(TSV)沟槽。
10.一种用于平坦化半导体晶圆中经由等离子体蚀刻形成的沟槽的侧壁的等离子体蚀刻系统,该系统包含:
等离子体蚀刻腔室;
基座,该基座在该等离子体蚀刻腔室中支撑该半导体晶圆;
一或多种气体源,该等气体源将氟气及第二气体引入该等离子体蚀刻腔室;以及
电源,该电源输送功率至该等离子体蚀刻腔室以自该氟气及该第二气体产生等离子体,自该第二气体产生的该等离子体在该半导体晶圆中的该沟槽的该侧壁上形成保护层;
其中该等离子体蚀刻腔室利用自该氟气产生的该等离子体定向蚀刻该半导体晶圆以平坦化具有该保护层的该沟槽的该侧壁。
11.如权利要求10所述的系统,其特征在于:
由该一或多种气体源引入该等离子体蚀刻腔室的该第二气体包含氧气,及其中自该氧气产生的该等离子体在该沟槽的该侧壁上形成氧化硅层。
12.如权利要求10所述的系统,其特征在于:
由该一或多种气体源引入该等离子体蚀刻腔室的该第二气体包含聚合气体,及其中自该聚合气体产生的该等离子体在该沟槽的该侧壁上形成聚合物层。
13.一种蚀刻半导体晶圆以产生具有平坦侧壁的沟槽的方法,该方法包含以下步骤:
利用包含氟气的一或更多种第一处理气体等离子体蚀刻该半导体晶圆;
利用包含氟气及聚合气体的一或更多种第二处理气体同时执行沉积及等离子体蚀刻该半导体晶圆;以及
利用包含聚合气体的一或更多种第三处理气体执行沉积。
14.如权利要求13所述的方法,该方法进一步包含重复以下步骤:利用该一或更多种第一处理气体执行该等离子体蚀刻;利用该一或更多种第二处理气体同时执行沉积及等离子体蚀刻;及利用该一或更多种第三处理气体执行沉积,以在该半导体晶圆中产生具有该平坦侧壁的该沟槽。
15.如权利要求13所述的方法,其中:
同时执行沉积及等离子体蚀刻的与该氟气混合的该聚合气体包含CHF3、C2F6、C3F8或C4F8
CN201380047346.0A 2012-08-27 2013-08-23 用于沟槽侧壁平坦化的硅蚀刻的方法 Active CN104620364B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261693601P 2012-08-27 2012-08-27
US61/693,601 2012-08-27
US201361775135P 2013-03-08 2013-03-08
US61/775,135 2013-03-08
US13/973,541 US9159574B2 (en) 2012-08-27 2013-08-22 Method of silicon etch for trench sidewall smoothing
US13/973,541 2013-08-22
PCT/US2013/056382 WO2014035820A1 (en) 2012-08-27 2013-08-23 Method of silicon etch for trench sidewall smoothing

Publications (2)

Publication Number Publication Date
CN104620364A true CN104620364A (zh) 2015-05-13
CN104620364B CN104620364B (zh) 2017-10-20

Family

ID=50148357

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380047346.0A Active CN104620364B (zh) 2012-08-27 2013-08-23 用于沟槽侧壁平坦化的硅蚀刻的方法

Country Status (6)

Country Link
US (1) US9159574B2 (zh)
JP (1) JP6487843B2 (zh)
KR (1) KR102120625B1 (zh)
CN (1) CN104620364B (zh)
TW (1) TWI590324B (zh)
WO (1) WO2014035820A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112368807A (zh) * 2018-12-21 2021-02-12 玛特森技术公司 工件的表面平滑化

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9224615B2 (en) * 2013-09-11 2015-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Noble gas bombardment to reduce scallops in bosch etching
CN105097440B (zh) * 2014-05-23 2018-02-09 中微半导体设备(上海)有限公司 一种深硅刻蚀方法
US9449633B1 (en) 2014-11-06 2016-09-20 WD Media, LLC Smooth structures for heat-assisted magnetic recording media
JP6585526B2 (ja) * 2015-03-10 2019-10-02 Sppテクノロジーズ株式会社 配線基板の製造方法
TWI614806B (zh) * 2015-12-16 2018-02-11 提升矽晶穿孔製程速度之方法
US10816705B2 (en) 2016-03-02 2020-10-27 Alcorix Co. Super-high aspect ratio diffractive optics fabricated by batch-processing
US10183375B2 (en) 2016-03-02 2019-01-22 Alcorix Co. Batch-processing method for super-high aspect ratio diffractive optics
JP2017168789A (ja) * 2016-03-18 2017-09-21 特定非営利活動法人ナノフォトニクス工学推進機構 平坦化方法及びシステム
KR101723935B1 (ko) * 2016-09-09 2017-04-06 박세원 계측기 배치장치
JP2018170356A (ja) * 2017-03-29 2018-11-01 公益財団法人福岡県産業・科学技術振興財団 半導体装置の製造方法
EP3451366A1 (en) * 2017-09-01 2019-03-06 Commissariat à l'Energie Atomique et aux Energies Alternatives Method of forming a conductive trench or via
CN110137097B (zh) * 2018-02-02 2020-06-19 北京北方华创微电子装备有限公司 获得刻蚀深度极限值的方法
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
EP3876265B1 (en) 2018-10-31 2023-07-12 Hamamatsu Photonics K.K. Damascene interconnect structure, actuator device, and method of manufacturing damascene interconnect structure
KR20210084523A (ko) * 2018-10-31 2021-07-07 하마마츠 포토닉스 가부시키가이샤 반도체 기판의 제조 방법, 다마신 배선 구조의 제조 방법, 반도체 기판, 및 다마신 배선 구조
JP7281741B2 (ja) * 2019-08-23 2023-05-26 パナソニックIpマネジメント株式会社 素子チップのスムージング方法および素子チップの製造方法
US11232954B2 (en) 2020-03-16 2022-01-25 Tokyo Electron Limited Sidewall protection layer formation for substrate processing
CN111446167A (zh) * 2020-03-16 2020-07-24 绍兴同芯成集成电路有限公司 一种利用聚合物隔离层生成多阶梯状沟槽晶体管的工艺
JP7478059B2 (ja) * 2020-08-05 2024-05-02 株式会社アルバック シリコンのドライエッチング方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030211752A1 (en) * 2002-05-01 2003-11-13 Michael Rattner Method of smoothing a trench sidewall after a deep trench silicon etch process
US20060205238A1 (en) * 2002-08-02 2006-09-14 Chinn Jeffrey D Silicon-containing structure with deep etched features, and method of manufacture
CN1886824A (zh) * 2003-12-01 2006-12-27 松下电器产业株式会社 等离子蚀刻法
US20100055400A1 (en) * 2008-08-27 2010-03-04 Applied Materials, Inc. Post etch reactive plasma milling to smooth through substrate via sidewalls and other deeply etched features

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4049944A (en) 1973-02-28 1977-09-20 Hughes Aircraft Company Process for fabricating small geometry semiconductive devices including integrated components
JPH0416085A (ja) 1990-05-10 1992-01-21 Tokyo Gas Co Ltd 画像記録再生装置
US5593606A (en) 1994-07-18 1997-01-14 Electro Scientific Industries, Inc. Ultraviolet laser system and method for forming vias in multi-layered targets
US6426484B1 (en) 1996-09-10 2002-07-30 Micron Technology, Inc. Circuit and method for heating an adhesive to package or rework a semiconductor die
US5920973A (en) 1997-03-09 1999-07-13 Electro Scientific Industries, Inc. Hole forming system with multiple spindles per station
JP3230572B2 (ja) 1997-05-19 2001-11-19 日亜化学工業株式会社 窒化物系化合物半導体素子の製造方法及び半導体発光素子
JPH11195641A (ja) * 1998-01-05 1999-07-21 Matsushita Electric Ind Co Ltd プラズマ処理方法
US6057180A (en) 1998-06-05 2000-05-02 Electro Scientific Industries, Inc. Method of severing electrically conductive links with ultraviolet laser output
JP2001110811A (ja) 1999-10-08 2001-04-20 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP4387007B2 (ja) 1999-10-26 2009-12-16 株式会社ディスコ 半導体ウェーハの分割方法
JP2001144126A (ja) 1999-11-12 2001-05-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
JP2001148358A (ja) 1999-11-19 2001-05-29 Disco Abrasive Syst Ltd 半導体ウェーハ及び該半導体ウェーハの分割方法
US6887804B2 (en) 2000-01-10 2005-05-03 Electro Scientific Industries, Inc. Passivation processing over a memory link
US6574250B2 (en) 2000-01-10 2003-06-03 Electro Scientific Industries, Inc. Laser system and method for processing a memory link with a burst of laser pulses having ultrashort pulse widths
TW504425B (en) 2000-03-30 2002-10-01 Electro Scient Ind Inc Laser system and method for single pass micromachining of multilayer workpieces
GB2386184B (en) 2000-07-12 2004-05-26 Electro Scient Ind Inc UV laser system and method for single pulse severing of IC fuses
US6676878B2 (en) 2001-01-31 2004-01-13 Electro Scientific Industries, Inc. Laser segmented cutting
JP3527901B2 (ja) * 2001-07-24 2004-05-17 株式会社日立製作所 プラズマエッチング方法
US6759275B1 (en) 2001-09-04 2004-07-06 Megic Corporation Method for making high-performance RF integrated circuits
US6642127B2 (en) 2001-10-19 2003-11-04 Applied Materials, Inc. Method for dicing a semiconductor wafer
AU2002337812A1 (en) * 2001-10-31 2003-05-12 Tokyo Electron Limited Method of etching high aspect ratio features
JP3910843B2 (ja) 2001-12-13 2007-04-25 東京エレクトロン株式会社 半導体素子分離方法及び半導体素子分離装置
US6706998B2 (en) 2002-01-11 2004-03-16 Electro Scientific Industries, Inc. Simulated laser spot enlargement
WO2003071591A1 (fr) 2002-02-25 2003-08-28 Disco Corporation Procede de subdivision de plaquettes semi-conductrices
KR100451950B1 (ko) 2002-02-25 2004-10-08 삼성전자주식회사 이미지 센서 소자 웨이퍼 소잉 방법
JP2003257896A (ja) 2002-02-28 2003-09-12 Disco Abrasive Syst Ltd 半導体ウェーハの分割方法
CN1663038A (zh) 2002-04-19 2005-08-31 Xsil技术有限公司 激光加工
JP2004031526A (ja) 2002-06-24 2004-01-29 Toyoda Gosei Co Ltd 3族窒化物系化合物半導体素子の製造方法
US6582983B1 (en) 2002-07-12 2003-06-24 Keteca Singapore Singapore Method and wafer for maintaining ultra clean bonding pads on a wafer
JP4286497B2 (ja) 2002-07-17 2009-07-01 新光電気工業株式会社 半導体装置の製造方法
JP3908148B2 (ja) 2002-10-28 2007-04-25 シャープ株式会社 積層型半導体装置
JP2004273895A (ja) 2003-03-11 2004-09-30 Disco Abrasive Syst Ltd 半導体ウエーハの分割方法
JP4065213B2 (ja) * 2003-03-25 2008-03-19 住友精密工業株式会社 シリコン基板のエッチング方法及びエッチング装置
JP2004322168A (ja) 2003-04-25 2004-11-18 Disco Abrasive Syst Ltd レーザー加工装置
JP4231349B2 (ja) 2003-07-02 2009-02-25 株式会社ディスコ レーザー加工方法およびレーザー加工装置
JP4408361B2 (ja) 2003-09-26 2010-02-03 株式会社ディスコ ウエーハの分割方法
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
JP4471632B2 (ja) 2003-11-18 2010-06-02 株式会社ディスコ ウエーハの加工方法
JP2005203541A (ja) 2004-01-15 2005-07-28 Disco Abrasive Syst Ltd ウエーハのレーザー加工方法
US7459377B2 (en) 2004-06-08 2008-12-02 Panasonic Corporation Method for dividing substrate
US7687740B2 (en) 2004-06-18 2010-03-30 Electro Scientific Industries, Inc. Semiconductor structure processing using multiple laterally spaced laser beam spots delivering multiple blows
JP4018088B2 (ja) 2004-08-02 2007-12-05 松下電器産業株式会社 半導体ウェハの分割方法及び半導体素子の製造方法
US7199050B2 (en) 2004-08-24 2007-04-03 Micron Technology, Inc. Pass through via technology for use during the manufacture of a semiconductor device
JP4018096B2 (ja) 2004-10-05 2007-12-05 松下電器産業株式会社 半導体ウェハの分割方法、及び半導体素子の製造方法
JP4288229B2 (ja) 2004-12-24 2009-07-01 パナソニック株式会社 半導体チップの製造方法
US7875898B2 (en) 2005-01-24 2011-01-25 Panasonic Corporation Semiconductor device
JP2006253402A (ja) 2005-03-10 2006-09-21 Nec Electronics Corp 半導体装置の製造方法
JP4478053B2 (ja) 2005-03-29 2010-06-09 株式会社ディスコ 半導体ウエーハ処理方法
US8546264B2 (en) 2005-06-02 2013-10-01 The Regents Of The University Of California Etching radical controlled gas chopped deep reactive ion etching
JP4285455B2 (ja) 2005-07-11 2009-06-24 パナソニック株式会社 半導体チップの製造方法
JP4599243B2 (ja) 2005-07-12 2010-12-15 株式会社ディスコ レーザー加工装置
JP4769560B2 (ja) 2005-12-06 2011-09-07 株式会社ディスコ ウエーハの分割方法
JP4372115B2 (ja) 2006-05-12 2009-11-25 パナソニック株式会社 半導体装置の製造方法、および半導体モジュールの製造方法
JP4480728B2 (ja) 2006-06-09 2010-06-16 パナソニック株式会社 Memsマイクの製造方法
JP5143382B2 (ja) * 2006-07-27 2013-02-13 オンセミコンダクター・トレーディング・リミテッド 半導体装置及びその製造方法
JP4544231B2 (ja) 2006-10-06 2010-09-15 パナソニック株式会社 半導体チップの製造方法
JP5074009B2 (ja) * 2006-11-22 2012-11-14 Sppテクノロジーズ株式会社 高アスペクト比の開口を有するシリコン構造体用エッチングマスクの製造方法及びその装置並びにその製造プログラム
JP4840174B2 (ja) 2007-02-08 2011-12-21 パナソニック株式会社 半導体チップの製造方法
JP4840200B2 (ja) 2007-03-09 2011-12-21 パナソニック株式会社 半導体チップの製造方法
FR2914782B1 (fr) * 2007-04-04 2009-06-12 St Microelectronics Sa Procede de gravure profonde anisotrope de silicium
CN103258729B (zh) * 2007-12-21 2016-07-06 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
US7859084B2 (en) 2008-02-28 2010-12-28 Panasonic Corporation Semiconductor substrate
CN101990480A (zh) 2008-04-10 2011-03-23 应用材料股份有限公司 激光刻划平台与杂合书写策略
JP2010199374A (ja) * 2009-02-26 2010-09-09 Alps Electric Co Ltd 接点デバイスの製造方法及び接点デバイス
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
EP2463896B1 (en) 2010-12-07 2020-04-15 IMEC vzw Method for forming through-substrate vias surrounded by isolation trenches with an airgap and corresponding device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030211752A1 (en) * 2002-05-01 2003-11-13 Michael Rattner Method of smoothing a trench sidewall after a deep trench silicon etch process
US20060205238A1 (en) * 2002-08-02 2006-09-14 Chinn Jeffrey D Silicon-containing structure with deep etched features, and method of manufacture
CN1886824A (zh) * 2003-12-01 2006-12-27 松下电器产业株式会社 等离子蚀刻法
US20100055400A1 (en) * 2008-08-27 2010-03-04 Applied Materials, Inc. Post etch reactive plasma milling to smooth through substrate via sidewalls and other deeply etched features
CN102165565A (zh) * 2008-08-27 2011-08-24 应用材料公司 用以使穿过衬底的过孔侧壁及其它深蚀刻特征部光滑的后蚀刻反应等离子体研磨

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112368807A (zh) * 2018-12-21 2021-02-12 玛特森技术公司 工件的表面平滑化

Also Published As

Publication number Publication date
JP2015534261A (ja) 2015-11-26
US9159574B2 (en) 2015-10-13
TW201413818A (zh) 2014-04-01
US20140057446A1 (en) 2014-02-27
KR20150048177A (ko) 2015-05-06
JP6487843B2 (ja) 2019-03-20
CN104620364B (zh) 2017-10-20
TWI590324B (zh) 2017-07-01
KR102120625B1 (ko) 2020-06-09
WO2014035820A1 (en) 2014-03-06

Similar Documents

Publication Publication Date Title
CN104620364A (zh) 用于沟槽侧壁平坦化的硅蚀刻的方法
TWI757334B (zh) 準原子層蝕刻方法
US9224618B2 (en) Method to increase mask selectivity in ultra-high aspect ratio etches
KR20190049482A (ko) 스택 내에 피처들을 에칭하기 위한 방법
KR20180036646A (ko) 원자층 에칭 방법
KR101095603B1 (ko) 드라이 에칭방법
JP2008198988A (ja) プラズマ処理方法
US10361092B1 (en) Etching features using metal passivation
JP2007027349A (ja) エッチング方法及びエッチング装置
Iwase et al. Progress and perspectives in dry processes for nanoscale feature fabrication: fine pattern transfer and high-aspect-ratio feature formation
Ouyang et al. Etching mechanism of the single-step through-silicon-via dry etch using SF6/C4F8 chemistry
KR20200115273A (ko) 텅스텐 또는 다른 금속층의 원자층 에칭
Shinoda et al. Selective atomic-level etching using two heating procedures, infrared irradiation and ion bombardment, for next-generation semiconductor device manufacturing
Nakazaki et al. Two modes of surface roughening during plasma etching of silicon: Role of ionized etch products
TWI806871B (zh) 多孔低介電常數介電蝕刻
US10658188B2 (en) Method of manufacturing a semiconductor device
Tatsumi Quantitative control of plasma and surface reactions for dielectric film etching
JP4865361B2 (ja) ドライエッチング方法
US8906248B2 (en) Silicon on insulator etch
US20120094499A1 (en) Method of performing an in situ chamber clean
TW201828360A (zh) 高深寬比蝕刻
Efremov et al. Comparative study of CF4-and CHF3-based plasmas for dry etching applications
JPH07183284A (ja) 薄層をエッチングするための装置および方法
US9997366B2 (en) Silicon oxide silicon nitride stack ion-assisted etch
WO2024059467A1 (en) Method for etching features using hf gas

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant