JP4865361B2 - ドライエッチング方法 - Google Patents

ドライエッチング方法 Download PDF

Info

Publication number
JP4865361B2
JP4865361B2 JP2006054914A JP2006054914A JP4865361B2 JP 4865361 B2 JP4865361 B2 JP 4865361B2 JP 2006054914 A JP2006054914 A JP 2006054914A JP 2006054914 A JP2006054914 A JP 2006054914A JP 4865361 B2 JP4865361 B2 JP 4865361B2
Authority
JP
Japan
Prior art keywords
gas
etched
etching
dry etching
mask pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006054914A
Other languages
English (en)
Other versions
JP2007234870A5 (ja
JP2007234870A (ja
Inventor
聡 宇根
正道 坂口
謙一 桑原
朋祥 市丸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Priority to JP2006054914A priority Critical patent/JP4865361B2/ja
Priority to US11/505,292 priority patent/US20070207618A1/en
Priority to KR1020060078748A priority patent/KR100894300B1/ko
Priority to TW095131155A priority patent/TW200735208A/zh
Publication of JP2007234870A publication Critical patent/JP2007234870A/ja
Publication of JP2007234870A5 publication Critical patent/JP2007234870A5/ja
Priority to US12/435,787 priority patent/US8143175B2/en
Application granted granted Critical
Publication of JP4865361B2 publication Critical patent/JP4865361B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Description

本発明は、半導体デバイスのエッチング方法に関する。さらに詳細には、半導体基板に設けた配線層である被エッチング材を加工しながら加工寸法を縮小化させることにより、パターン欠陥を発生させずに配線寸法の縮小化を行うドライエッチング方法に関する。
近年、半導体デバイスの処理速度の高速化に伴なう高集積化の進展により、ゲート材等の加工技術においても微細化加工が求められている。ドライエッチングの分野では、一般的にパターンの微細化を行うために、被エッチング材を加工する前に、マスクとなるホトレジストパターンをドライエッチングにより縮小化させることで、被エッチング材の加工寸法を縮小化する方法が行われてきた。
さらに微細化が進むと、ホトレジストマスクの素材を、従来よりも高精度に微細パターンが形成できるArFレーザを用いて露光するArFレジストが採用されるようになった。しかし、ArFレジストは従来のマスク材と比べると厚く膜付けできず、しかもエッチング速度が速いことからエッチングに対して弱いという性質を有している。このため、ArFレジストは、被エッチング材を加工する間にマスクが無くなり、高精度な被エッチング材の微細配線加工ができないという問題を有している。この問題を解決するために、ホトレジストマスクと被エッチング材との間にSiON、SiN、SiO等の無機膜層を設け、縮小化したホトレジストマスクのパターンを元にドライエッチングにより無機膜層を加工し、エッチング速度の遅い無機膜マスクを形成することで、安定して被エッチング材の加工が行えるようにしている(例えば、特許文献1参照)。
特開平9−237777号公報
しかし、ドライエッチングによるホトレジストパターン寸法の縮小化方法では、無機膜層の加工に必要なホトレジストマスク量を確保することが必要であり、加工寸法の縮小量に限界が生じるという問題がある。
本発明は、被エッチング材の加工中に加工寸法を縮小化することが可能となり、マスク欠損による被エッチング材の断線や曲がり等の問題を発生させずに、微細加工を行うことができるドライエッチング方法を提供することを目的とする。
この課題は、パターンニングされたホトレジストをマスクとし、ドライエッチングにより無機膜層を加工して無機膜マスクの形成を行った後、被エッチング材のエッチング過程で、無機膜マスクと被エッチング材とを同時に縮小化させることにより達成できる。
この加工方法では、無機膜マスクを形成した後にこの無機膜マスクのパターン寸法の縮小化を行うので、ホトレジストマスク倒れ等の問題が発生しない。
また、従来から行っているドライエッチングによるホトレジストマスク寸法の縮小化を併用する場合でも、過剰なホトレジストマスクの縮小化を行う必要が無く、このためパターン縮小による倒れや配線層の断線等の問題が発生しない。
上記の方法により、本発明によれば、加工寸法の縮小化に伴う被エッチング材の配線の断線や曲がりを発生させること無く、加工寸法を大幅に縮小させることができ、微細な配線加工を行うことができる。
以下、本発明によるプラズマエッチング方法について説明する。図1は、本発明にかかるドライエッチング方法が適用されるプラズマエッチング装置を示す。このプラズマエッチング装置は、プラズマ生成手段にマイクロ波と磁界を利用したマイクロ波プラズマエッチング装置の例である。マイクロ波は、マグネトロン1で発振され,導波管2を経て石英板3を通過して真空容器へ入射される。真空容器の周りにはソレノイドコイル4が設けてあり、これより発生する磁界と入射してくるマイクロ波により、電子サイクロトロン共鳴(ECR: Electron Cyclotron Resonance)を起こす。これによりプロセスガスは、効率良く高密度にプラズマ5化される。処理ウエハ6は、静電吸着電源7で試料台8に直流電圧を印加することで、静電吸着力により電極に固定される。また,電極には高周波電源9が接続してあり,高周波電力(RFバイアス)を印加して,プラズマ中のイオンにウエハに対して垂直方向の加速電位を与える。エッチング後のガスは装置下部に設けられた排気口から、ターボポンプ・ドライポンプ(図省略)により排気される。
なお、プラズマエッチング処理装置としては、マイクロ波プラズマエッチング装置、誘導結合型プラズマエッチング装置、ヘリコン波プラズマエッチング装置、2周波励起平行平板型プラズマエッチング装置などが採用される。
図2は、図1のプラズマエッチング装置を用いた半導体装置の製造方法を示す図である。図2(A)は本実施例で使用する試料の構造を、図2(B)はホトレジストマスクの加工寸法の縮小工程を、図2(C)はSiON膜、SiN膜のマスク形成工程を、図2(D)はマスクおよびポリシリコン膜の加工および加工寸法の縮小工程を、図2(E)はポリシリコン膜の加工工程を示す。
本発明の一実施例で使用した試料の構造の例を、図2(A)に示す。直径12インチのシリコン基板10の上にゲート酸化膜(2nm)11、ポリシリコン膜(膜厚100nm)12、SiN膜(50nm)13、SiON膜(25nm)14、ホトレジスト(250nm)15を順に形成し、フォトリソグラフィ技術等よってマスクパターンを形成する。
図2(B)は、従来から行われているホトレジストマスクの加工寸法の縮小工程であり、例えば、ArガスにOガスを添加した混合ガスを用い、処理圧力を0.2Paに設定し、マイクロ波を600Wとして生成したプラズマでエッチングを行う。Oガス流量はホトレジストの縮小速度を律しており、1.5nm/sec程度の縮小速度とするため10%程度添加した。Oガスの添加量を増加させると縮小速度は上昇する。本実施例では、初期ホトレジストパターン寸法が100nmであるパターンに対して43秒処理を行い、ホトレジストパターン寸法を35nmまで細らせた。
図2(C)に示すマスク形成工程ではホトレジスト15をマスクとして、SiON膜14およびSiN膜13をエッチングする。エッチング処理中は、EPD(End Point Detector)等のエッチングモニターで、ポリシリコン膜12との界面を検出しながらエッチング処理を行う。処理条件として、例えば、CFガスとCHFガス1:1の混合ガスを用い、処理圧力を0.8Paとし、マイクロ波900Wで生成したプラズマにRFバイアス100Wを印加しエッチングする。ポリシリコン膜12の表面を検出した時点でSiN膜13のエッチングを終了する。
図2(D)に示すポリシリコン膜の加工寸法の縮小工程は、本発明の特徴とする工程であり、図2(C)により形成されたSiON膜14およびSiN膜13のパターンをマスクとして深さ方向のエッチングを進行させながら、横方向のエッチングを同時に行うことでパターン寸法の縮小化を行う。Clと共にCFを用いることで、ポリシリコン膜12のエッチングと同時に、マスク材もエッチングすることができる。処理条件として例えば、ClガスとCFガス1:3の混合ガスを用い、処理圧力を0.2Paとし、マイクロ波900Wで生成したプラズマにRFバイアス30Wを印加し深さ方向および横方向をエッチングする。
ここで、ポリシリコンの横方向のエッチング量は、図3(A)に示すように、RFバイアスの印加量に依存することが分かり、この実施例では、処理条件は深さ方向に対する横方向の縮小比率を0.32程度に最適化を行った。本実施例では、ポリシリコン膜12の厚100nmに対して半分の50nm程度をこの処理条件で処理を行い、前記ホトレジスト14およびSiON膜14ならびにSiN膜13の加工寸法縮小工程により35nmまで縮小化されたパターンを、さらに16nmの縮小化を行い19nmのパターン寸法を形成した。この処理工程によって、ホトレジスト14は全てエッチングされ、これ以降SiON膜14およびSiN膜13がマスクとして使用される。この工程は、ホトレジスト14がなくなるまでもしくは所望の(例えば、19nm)マスク幅となるまでは、等方性エッチを続けることができる。図3(A)における、縮小量とは、図3(B)に示すようにマスクの幅の初期寸法Aからエッチング後の寸法Bを差引いた値であり、縮小率は、この縮小量をポリシリコン膜のエッチング深さで除した値である。
図2(E)に示すポリシリコン膜12の加工工程は、前工程にて縮小化されたポリシリコンの加工寸法を維持しながら残りのポリシリコン膜のエッチングを行う。処理条件としては、例えば、HBrガスにOガスをHBrガス流量の4%程度添加した混合ガスを用い、処理圧力を0.4Paとし、マイクロ波900Wで生成したプラズマにRFバイアス30Wを印加してエッチングする。ここで使用するエッチング条件では、ポリシリコンの横方向のエッチングは起こらず、上層で形成された加工寸法を維持したままエッチング加工される。
これにより本実施例では、100nmの初期寸法を示すマスクパターンを用いて、被エッチング材に断線の発生や曲がり(サイドエッチング)の無い19nm幅のポリシリコン配線加工を実現することができた。
同様に、従来技術である図2(B)のホトレジスト15のパターン寸法の縮小化だけで、19nmのポリシリコンの加工を実現しようとすると、ホトレジストでの縮小化を80nm程度行う必要があり、ホトレジストパターンが倒れてしまい、ポリシリコン配線の断線や曲がり(サイドエッチング)等の問題が発生した。
従来技術により大幅なホトレジスト15のパターン寸法の縮小化を進めると、SiON膜14およびSiN膜13をエッチングするための十分なマスク量が保てなくなる。仮にマスク量を確保できた場合でも、ホトレジストパターンが薄膜化されることにより対プラズマ耐性が著しく低下し、パターン曲り等の問題が発生する。
また、図2(D)で処理した処理条件(Clガス:CFガス=1:3)は、本実施例の試料に合せて最適化された条件であり、CFの添加量は形状制御の面から考えると40%〜90%が適当である。40%以下のCF添加量ではフッ素による横方向のエッチングが進行しにくくなり縮小化が難しくなる。一方、90%以上のCF添加量になるとフッ素による等方性エッチングが強くなりサイドエッチングが強くなって垂直形状が得られなくなる。図3に示す縮小速度制御方法すなわち前記処理条件の他に、ClガスとCFガスに、Oガスを添加すること、CHF、SF、NF等のフッ素含有ガスを添加すること、もしくはCFガスに変えてCHF、SF、NF等のフッ素含有ガスを使用することでも縮小速度を制御することができる。また同様に、Clガスの代わりにHBrガス等のハロゲン系ガスを用いることでも、縮小速度を調整することができる。
本実施例は、半導体デバイスの試料について最適化を行ったプロセス条件であり、ポリシリコン膜12、SiN膜13、SiON膜14、ホトレジスト15のエッチング方法については、本実施例の条件に限られたものではない。
本発明は、ポリシリコンの配線加工工程について記載したが、それに限るものではなく、半導体デバイス製造工程において、ポリシリコン以外の材質の配線加工についても本発明の方法が適応可能であり、例えば、ポリシリコン膜12の上にタングステンシリサイド(WSi)膜を形成し、その上にSiN膜13およびSiON膜14ならびにホトレジスト15等を形成した場合の配線加工などにも応用することができる。加工する材料によって、縮小速度が違う為、材質に応じて使用するガスや処理条件の適性値を求めることが好ましい。
本試験では、ホトレジストマスクの試料を用いたが、SiNや、SiON、SiO等の無機膜マスクを用いた試料、すなわち図2(D)のホトレジスト15が取り除かれた状態にされた試料についても、本発明の方法が適応可能である。
なお、本発明は、マイクロ波と磁場を用いたプラズマエッチング装置を使用したが、プラズマの生成方法の如何に関わらず適用可能であり、例えば、ヘリコン波プラズマエッチング装置、誘導結合型プラズマエッチング装置、容量結合型プラズマエッチング装置等によって実施しても同等の効果を得ることができる。
本発明のエッチング方法が適用されるマイクロ波プラズマエッチング装置の概略断面図。 本発明の一実施例を説明するための半導体基板の要所断面図(レジストマスク形成後)。 本発明の一実施例を説明するための半導体基板の要所断面図(レジストマスク縮小処理)。 本発明の一実施例を説明するための半導体基板の要所断面図(SiON膜およびSiN膜エッチング処理)。 本発明の一実施例を説明するための半導体基板の要所断面図(SiON膜およびSiN膜縮小およびポリシリコン膜縮小エッチング処理)。 本発明の一実施例を説明するための半導体基板の要所断面図(ポリシリコン膜エッチング処理)。 本発明の一縮小化速度のRFバイアス依存性を説明するグラフ。 図3(A)のエッチング深さとマスクの横方向エッチングを説明する図。
符号の説明
1…マグネトロン、2…導波管、3…石英版、4…ソレノイドコイル、5…プラズマ、6…ウエハ、7…静電吸着電源、8…試料台、9…高周波電源、10…シリコン基板、11…ゲート酸化膜、12…ポリシリコン膜、13…SiN膜、14…SiON膜、15…ホトレジスト

Claims (5)

  1. プラズマエッチング装置を使用して被エッチング材の上に設けられたホトレジストおよび無機膜からなるマスクパターンを用いて前記被エッチング材をエッチングすることによって配線を形成するドライエッチング方法において、
    前記ホトレジストをマスクパターンとして前記無機膜をエッチングし、
    ハロゲン系ガスと、CF、CHF、SF、NFからなるフッ素含有ガスのうちの少なくとも一つのフッ素含有ガスと、の混合ガスを用て前記エッチングされた無機膜を所望のマスクパターン寸法に縮小化させるまで前記被エッチング材をエッチングし、
    前記所望の寸法に縮小化された無機膜をマスクパターンとして前記エッチングされた被エッチング材の残りをエッチングすることを特徴とするドライエッチング方法。
  2. プラズマエッチング装置を使用して被エッチング材の上に設けられた無機膜からなるマスクパターンを用いて前記被エッチング材をエッチングすることによって配線を形成するドライエッチング方法において、
    ハロゲン系ガスと、CF 、CHF 、SF 、NF からなるフッ素含有ガスのうちの少なくとも一つのフッ素含有ガスと、の混合ガスを用いて予めマスクパターンとしてエッチングされた無機膜を所望のマスクパターン寸法に縮小化させるまで前記被エッチング材をエッチングし、
    前記所望の寸法に縮小化された無機膜をマスクパターンとして前記エッチングされた被エッチング材の残りをエッチングすることを特徴とするドライエッチング方法。
  3. 請求項1に記載のドライエッチング方法において、
    前記ホトレジストをマスクパターンとして前記無機膜をエッチングする前に、O ガスを用いて前記ホトレジストを所望のマスクパターン寸法に縮小化させることを特徴とするドライエッチング方法。
  4. 請求項1または2記載のドライエッチング方法において、
    前記ハロゲン系ガスは、Cl ガスであり、前記フッ素含有ガスの流量は、前記混合ガスの40〜90%の比率のガス流量であることを特徴とするドライエッチング方法。
  5. 請求項1または2記載のドライエッチング方法において、
    前記ハロゲン系ガスは、HBrガスであり、前記フッ素含有ガスの流量は、前記混合ガスの40〜90%の比率のガス流量であることを特徴とするドライエッチング方法。
JP2006054914A 2006-03-01 2006-03-01 ドライエッチング方法 Expired - Fee Related JP4865361B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2006054914A JP4865361B2 (ja) 2006-03-01 2006-03-01 ドライエッチング方法
US11/505,292 US20070207618A1 (en) 2006-03-01 2006-08-17 Dry etching method
KR1020060078748A KR100894300B1 (ko) 2006-03-01 2006-08-21 드라이에칭방법
TW095131155A TW200735208A (en) 2006-03-01 2006-08-24 Dry etching method
US12/435,787 US8143175B2 (en) 2006-03-01 2009-05-05 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006054914A JP4865361B2 (ja) 2006-03-01 2006-03-01 ドライエッチング方法

Publications (3)

Publication Number Publication Date
JP2007234870A JP2007234870A (ja) 2007-09-13
JP2007234870A5 JP2007234870A5 (ja) 2009-02-19
JP4865361B2 true JP4865361B2 (ja) 2012-02-01

Family

ID=38471971

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006054914A Expired - Fee Related JP4865361B2 (ja) 2006-03-01 2006-03-01 ドライエッチング方法

Country Status (4)

Country Link
US (2) US20070207618A1 (ja)
JP (1) JP4865361B2 (ja)
KR (1) KR100894300B1 (ja)
TW (1) TW200735208A (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013258244A (ja) * 2012-06-12 2013-12-26 Tokyo Electron Ltd エッチング方法及びプラズマ処理装置
JP2014003085A (ja) * 2012-06-15 2014-01-09 Tokyo Electron Ltd プラズマエッチング方法及びプラズマ処理装置
CN104425228B (zh) * 2013-08-28 2017-06-16 中芯国际集成电路制造(上海)有限公司 多晶硅栅极的形成方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56100421A (en) * 1980-01-17 1981-08-12 Toshiba Corp Plasma etching method
JPS56144542A (en) * 1980-03-17 1981-11-10 Ibm Method of selectively reactively ion etching polycrystalline silicon for monocrsytalline silicon
EP0338102B1 (de) 1988-04-19 1993-03-10 International Business Machines Corporation Verfahren zur Herstellung von integrierten Halbleiterstrukturen welche Feldeffekttransistoren mit Kanallängen im Submikrometerbereich enthalten
JPH07263415A (ja) 1994-03-18 1995-10-13 Fujitsu Ltd 半導体装置の製造方法
JP3438313B2 (ja) * 1994-05-12 2003-08-18 富士通株式会社 パターン形成方法
KR100434133B1 (ko) 1995-07-14 2004-08-09 텍사스 인스트루먼츠 인코포레이티드 중간층리쏘그래피
JP2935346B2 (ja) * 1996-07-30 1999-08-16 日本電気株式会社 半導体装置およびその製造方法
US5818110A (en) * 1996-11-22 1998-10-06 International Business Machines Corporation Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same
KR100291585B1 (ko) 1997-07-25 2001-11-30 윤종용 반도체장치의금속막식각방법
KR20010003257A (ko) 1999-06-22 2001-01-15 김영환 반도체소자의 제조방법
JP2001035808A (ja) * 1999-07-22 2001-02-09 Semiconductor Energy Lab Co Ltd 配線およびその作製方法、この配線を備えた半導体装置、ドライエッチング方法
TW452971B (en) 1999-12-28 2001-09-01 Promos Technologies Inc Manufacturing method of bottle-shaped deep trench
KR20010083476A (ko) 2000-02-15 2001-09-01 박종섭 미세패턴 형성방법
JP2002151470A (ja) * 2000-11-09 2002-05-24 Mitsubishi Electric Corp ハードマスクの形成方法および半導体装置の製造方法
JP2002343798A (ja) * 2001-05-18 2002-11-29 Mitsubishi Electric Corp 配線層のドライエッチング方法、半導体装置の製造方法および該方法によって得られた半導体装置
JP4257051B2 (ja) * 2001-08-10 2009-04-22 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP2003163349A (ja) * 2001-11-28 2003-06-06 Mitsubishi Electric Corp 半導体装置の製造方法
US6900139B1 (en) 2002-04-30 2005-05-31 Advanced Micro Devices, Inc. Method for photoresist trim endpoint detection
US6762130B2 (en) 2002-05-31 2004-07-13 Texas Instruments Incorporated Method of photolithographically forming extremely narrow transistor gate elements
KR200291154Y1 (ko) * 2002-07-09 2002-10-11 박성준 전기ㆍ전자기기의 전선 정리용 기구

Also Published As

Publication number Publication date
KR20070090063A (ko) 2007-09-05
US8143175B2 (en) 2012-03-27
KR100894300B1 (ko) 2009-04-24
TW200735208A (en) 2007-09-16
US20070207618A1 (en) 2007-09-06
JP2007234870A (ja) 2007-09-13
US20090280651A1 (en) 2009-11-12
TWI334174B (ja) 2010-12-01

Similar Documents

Publication Publication Date Title
JP4579611B2 (ja) ドライエッチング方法
US8394722B2 (en) Bi-layer, tri-layer mask CD control
US20050277289A1 (en) Line edge roughness reduction for trench etch
KR20160044545A (ko) 하드마스크를 측면으로 트리밍하기 위한 방법
JP3165047B2 (ja) ポリサイド膜のドライエッチング方法
JP2004519838A (ja) 窒化チタンをエッチングする方法
US20080254637A1 (en) Methods for removing photoresist defects and a source gas for same
JP2014107520A (ja) プラズマエッチング方法
JP2010016213A (ja) プラズマエッチング方法、制御プログラム及びコンピュータ記憶媒体
US9966312B2 (en) Method for etching a silicon-containing substrate
JP4865361B2 (ja) ドライエッチング方法
JP5248063B2 (ja) 半導体素子加工方法
JP5297615B2 (ja) ドライエッチング方法
JP3353532B2 (ja) トレンチエッチング方法
JPH08321484A (ja) 半導体装置の製造方法
JP2004259927A (ja) ドライエッチング方法
JP6040314B2 (ja) プラズマ処理方法
JP4778715B2 (ja) 半導体の製造方法
JP5815459B2 (ja) プラズマエッチング方法
JP4368244B2 (ja) ドライエッチング方法
JP2010062212A (ja) 半導体装置の製造方法
JPH0677175A (ja) 酸化シリコン上の窒化シリコンのエッチング方法
JP2005310944A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081224

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081224

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090601

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110830

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111012

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111108

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111110

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141118

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees