CN103718111B - 含钛和硅的光刻用薄膜形成用组合物 - Google Patents

含钛和硅的光刻用薄膜形成用组合物 Download PDF

Info

Publication number
CN103718111B
CN103718111B CN201280035516.9A CN201280035516A CN103718111B CN 103718111 B CN103718111 B CN 103718111B CN 201280035516 A CN201280035516 A CN 201280035516A CN 103718111 B CN103718111 B CN 103718111B
Authority
CN
China
Prior art keywords
film
resist
formula
methyl
organic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280035516.9A
Other languages
English (en)
Other versions
CN103718111A (zh
Inventor
中岛诚
菅野裕太
武田谕
境田康志
志垣修平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nissan Chemical Corp
Original Assignee
Nissan Chemical Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nissan Chemical Corp filed Critical Nissan Chemical Corp
Publication of CN103718111A publication Critical patent/CN103718111A/zh
Application granted granted Critical
Publication of CN103718111B publication Critical patent/CN103718111B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G79/00Macromolecular compounds obtained by reactions forming a linkage containing atoms other than silicon, sulfur, nitrogen, oxygen, and carbon with or without the latter elements in the main chain of the macromolecule
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Abstract

本发明提供用于形成在半导体装置的制造中使用的抗蚀剂下层膜等的薄膜形成用组合物。而且,提供能够使在极紫外光刻中不受欢迎的UV光在抵达抗蚀剂层前就被抗蚀剂上层存在的薄膜高效吸收的抗蚀剂上层膜、以及极紫外抗蚀剂用下层膜(硬掩模)、图案反转材料、溶剂显影用抗蚀剂的下层膜。本发明提供了一种在光刻工序中与抗蚀剂一起使用的薄膜形成用组合物,是含有以下混合物、该混合物的水解物、或该混合物的水解缩合物的组合物,所述混合物是钛化合物(A)和硅化合物(B)的混合物,所述钛化合物(A)选自下述式(1)所表示的化合物、钛络合物、和水解性钛二聚体,所述硅化合物(B)以下述式(2)表示,相对于该组合物中的以Ti原子和Si原子换算的总计摩尔数,Ti原子的摩尔数为50%~90%。RO aTi(R1)(4‑a) 式(1)R2 a'R3 bsi(R4)4‑(a'+b) 式(2)。

Description

含钛和硅的光刻用薄膜形成用组合物
技术领域
本发明涉及在半导体装置的制造中用于在使用的基板和抗蚀剂(例如,光致抗蚀剂、电子束抗蚀剂、极紫外(EUV)抗蚀剂)之间形成下层膜的组合物。
而且,涉及用于形成被覆在极紫外抗蚀剂上层的、吸收极紫外带域外的波长光的薄膜的组合物。
背景技术
一直以来,在半导体装置的制造中,使用光致抗蚀剂通过光刻来进行微细加工。上述微细加工为在硅晶片等半导体基板上形成光致抗蚀剂的薄膜,在其上隔着描绘有半导体器件的图案的掩模图案而照射紫外线等活性光线,进行显影,将所得的光致抗蚀剂图案作为保护膜对基板进行蚀刻处理,从而在基板表面形成与上述图案对应的微细凹凸的加工法。然而,近年来,有半导体器件的高集成度化发展,所使用的活性光线也从KrF准分子激光(248nm)向ArF准分子激光(193nm)短波长化的倾向。与此相伴,活性光线从半导体基板的反射的影响成为大问题。
此外,作为半导体基板与光致抗蚀剂之间的下层膜,已经使用作为包含硅、钛等金属元素的硬掩模而被人们所知的膜(例如,参照专利文献1、专利文献2)。在该情况下,抗蚀剂与硬掩模,它们的构成成分具有大的差异,因此它们的通过干蚀刻而被除去的速度大大取决于干蚀刻所使用的气体种类。而且,通过适当地选择气体种类,能够在不会导致光致抗蚀剂的膜厚的大幅减少的情况下通过干蚀刻而除去硬掩模。
这样,在近年来的半导体装置的制造中,为了实现以防反射效果为代 表的各种效果,已经逐渐开始在半导体基板与光致抗蚀剂之间配置抗蚀剂下层膜。而且,迄今为止也已经对抗蚀剂下层膜用的组合物进行了研究,但由于其所要求的特性的多样性等,因此期望开发出抗蚀剂下层膜用的新材料。
而且在极紫外光刻法中公开了以下方法:为了使极紫外抗蚀剂不受污染物质破坏而得到保护、或为了阻断不受欢迎的辐射线例如UV(紫外线)或DUV(深紫外线),而在极紫外抗蚀剂上层含有选自铍、硼、碳、硅、锆、铌和钼中的1种以上的聚合物(专利文献3、专利文献4)。
现有技术文献
专利文献
专利文献1:日本特开平11-258813号公报
专利文献2:日本特开2007-163846号公报
专利文献3:日本特开2004-348133号公报
专利文献4:日本特开2008-198788号公报
发明内容
发明要解决的课题
本发明的目的是提供能够在半导体装置的制造中使用的、在光刻工序中与抗蚀剂(光致抗蚀剂、电子束抗蚀剂、极紫外抗蚀剂)一起使用的薄膜形成用组合物。具体地是提供用于形成能够作为硬掩模使用的抗蚀剂下层膜的光刻用抗蚀剂下层膜形成用组合物。而且,提供用于形成能够用作防反射膜的抗蚀剂下层膜的光刻用抗蚀剂下层膜形成用组合物。而且,提供不与抗蚀剂发生掺合、具有比抗蚀剂大的干蚀刻速度的光刻用抗蚀剂下层膜和用于形成该下层膜的抗蚀剂下层膜形成用组合物。
而且,提供一种极紫外抗蚀剂上层膜形成用组合物,其在极紫外光刻中、在不受欢迎的UV光抵达极紫外抗蚀剂层之前,通过用在极紫外抗蚀剂上层存在的薄膜将UV光有效进行吸收,从而能够抑制由例如190~ 300nm、190~250nm、尤其200~240nm附近的波长光造成极紫外抗蚀剂的灵敏度降低或图案形状的老化。或提供作为在极紫外抗蚀剂的下层存在的薄膜的极紫外抗蚀剂用下层膜(硬掩模),此外,还提供溶剂显影用抗蚀剂的下层膜形成用组合物。进而提供图案反转材料。
即、本发明提供可以作为光致抗蚀剂等的抗蚀剂下层膜形成用组合物、极紫外抗蚀剂等的抗蚀剂下层膜形成用组合物、极紫外抗蚀剂上层膜形成用组合物、图案反转材料形成用组合物、溶剂显影用抗蚀剂的下层膜形成用组合物等使用的、用于形成薄膜的组合物。
解决课题的手段
本发明的观点1,是一种在光刻工序中与抗蚀剂一起使用的薄膜形成用组合物,是含有以下混合物、该混合物的水解物、或该混合物的水解缩合物的组合物,所述混合物是钛化合物(A)和硅化合物(B)的混合物,
所述钛化合物(A)选自下述式(1)所表示的化合物、钛络合物、和水解性钛二聚体,
RO aTi(R1)(4-a) 式(1)
式(1)中,R0表示烷基、芳基、卤代烷基、卤代芳基、脂肪族烯基,或具有环氧基、丙烯酰基、甲基丙烯酰基、巯基、氨基、或氰基的有机基团,其通过Ti-C键与钛原子键合,R1表示烷氧基、酰氧基或卤原子,a表示整数0~2;
所述硅化合物(B)以下述式(2)表示,
R2 a,R3 bSi(R4)4-(a,+b) 式(2)
式(2)中,R2表示带氮原子的环基或含有它的有机基团、芳香族稠环基或含有它的有机基团、被保护了的酚性羟基或含有它的有机基团、或者联芳基或含有它的有机基团,其通过Si-C键与硅原子键合,R3表示烷基、芳基、卤代烷基、卤代芳基、脂肪族烯基,或具有环氧基、丙烯酰基、甲基丙烯酰基、巯基、氨基、或氰基的有机基团,其通过Si-C键或Si-N键 与硅原子键合,R4表示烷氧基、酰氧基、或卤原子,a’表示整数1,b表示整数0或1,(a’+b)表示整数1或2,
相对于该组合物中的以Ti原子和Si原子换算的总计摩尔数,Ti原子的摩尔数为50%~90%。
作为观点2,是如观点1所述的薄膜形成用组合物,所述薄膜形成用组合物含有以下混合物、该混合物的水解物、或该混合物的水解缩合物,所述混合物是选自上述式(1)所表示的化合物、钛络合物和水解性钛二聚体中的钛化合物(A)、上述式(2)所表示的硅化合物(B)和下述式(3)所表示的硅化合物(C)的混合物,
R5 a”Si(R6)4-a” 式(3)
式(3)中,R5表示烷基、芳基、卤代烷基、卤代芳基、脂肪族烯基,或具有环氧基、丙烯酰基、甲基丙烯酰基、巯基、氨基、磺酰基、硫醚键、醚键、酯键、磺酰胺基、或氰基的有机基团,其通过Si-C键与硅原子键合,R6表示烷氧基、酰氧基或卤原子,a’’表示整数0~3。
作为观点3,是如观点1或2所述的薄膜形成用组合物,所述带氮原子的环基或含有它的有机基团是式(4)所示的有机基团,其通过Si-C键与硅原子键合,
式(4)中,R7表示氢原子、或碳原子数1~10的烷基、脂肪族烯基、环氧基、磺酰基、或含有它们的有机基团,R8表示碳原子数1~10的亚烷基、羟基亚烷基、硫醚键、醚键、酯键、或它们的组合,X1表示式(5)、式(6)、或式(7)所示的基团,
在式(5)、式(6)和式(7)中,R9~R13分别独立地表示氢原子、或碳原子数1~10的烷基、脂肪族烯基、环氧基、磺酰基、或含有它们的有机基团。
作为观点4,是如观点1或2所述的薄膜形成用组合物,所述带氮原子的环基或含有它的有机基团为咪唑基或含有咪唑基的有机基团。
作为观点5,是如观点1或2所述的薄膜形成用组合物,所述芳香族稠环基或含有它的有机基团为萘基或蒽基。
作为观点6,是如观点1或2所述的薄膜形成用组合物,所述被保护了的酚性羟基或含有它的有机基团为烷氧基烷基取代芳基、或烷氧基烷氧基烷基取代芳基。
作为观点7,是如观点1或2所述的薄膜形成用组合物,所述联芳基或含有它的有机基团为联苯基。
作为观点8,是如观点1~7的任一项所述的薄膜形成用组合物,还含有β-二酮和/或β-酮酯。
作为观点9,是如观点1~8的任一项所述的薄膜形成用组合物,还含有酸。
作为观点10,是如观点1~9的任一项所述的薄膜形成用组合物,还含有盐。
作为观点11,是如观点1~10的任一项所述的薄膜形成用组合物,还含有水。
作为观点12,是一种薄膜,通过在半导体基板上涂布观点1~11的任一项所述的薄膜形成用组合物,并进行烘焙,从而得到。
作为观点13,是一种半导体装置的制造方法,包含以下工序:在半导 体基板上涂布观点1~11的任一项所述的薄膜形成用组合物,并进行烘焙,而形成薄膜的工序;在该薄膜上涂布抗蚀剂用组合物,而形成抗蚀剂膜的工序;使该抗蚀剂膜曝光的工序;在曝光后使该抗蚀剂膜显影,而得到抗蚀剂图案的工序;通过该抗蚀剂图案对薄膜进行蚀刻的工序;以及通过图案化了的抗蚀剂膜和薄膜对半导体基板进行加工的工序。
作为观点14,是一种半导体装置的制造方法,包含以下工序:在半导体基板上形成有机下层膜的工序;在该有机下层膜上涂布观点1~11的任一项所述的薄膜形成用组合物,并进行烘焙,而形成薄膜的工序;在该薄膜上涂布抗蚀剂用组合物,而形成抗蚀剂膜的工序;使该抗蚀剂膜曝光的工序;在曝光后使该抗蚀剂膜显影,而得到抗蚀剂图案的工序;通过该抗蚀剂图案对薄膜进行蚀刻的工序;通过图案化了的薄膜对有机下层膜进行蚀刻的工序;以及通过图案化了的有机下层膜对半导体基板进行加工的工序。
作为观点15,是一种半导体装置的制造方法,包含以下工序:在半导体基板上形成有机下层膜的工序;在该有机下层膜上涂布观点1~11的任一项所述的薄膜形成用组合物,并进行烘焙,而形成薄膜的工序;在该薄膜上涂布有机抗蚀剂下层膜形成用组合物,而形成有机抗蚀剂下层膜的工序;在该有机抗蚀剂下层膜上涂布抗蚀剂用组合物,而形成抗蚀剂膜的工序;使该抗蚀剂膜曝光的工序;在曝光后使该抗蚀剂膜显影,而得到抗蚀剂图案的工序;通过该抗蚀剂图案对有机抗蚀剂下层膜进行蚀刻的工序;通过图案化了的有机抗蚀剂下层膜对薄膜进行蚀刻的工序;通过图案化了的薄膜对有机下层膜进行蚀刻的工序;以及通过图案化了的有机下层膜对半导体基板进行加工的工序。
作为观点16,是一种半导体装置的制造方法,包含以下工序:在基板上形成抗蚀剂图案的工序;在抗蚀剂图案上涂布观点1~11的任一项所述的薄膜形成用组合物,并进行烘焙,而形成薄膜的工序;对该薄膜进行蚀刻,而使抗蚀剂表面露出的工序;以及对抗蚀剂图案进行蚀刻,而使图案反转的工序。
作为观点17,是如观点13~16的任一项所述的制造方法,抗蚀剂为极紫外抗蚀剂。
作为观点18,是一种半导体装置的制造方法,包含以下工序:在半导体基板上涂布极紫外抗蚀剂用组合物,并进行烘焙,而形成极紫外抗蚀剂膜的工序;在该极紫外抗蚀剂膜上涂布观点1~11的任一项所述的薄膜形成用组合物而形成薄膜的工序;用极紫外曝光的工序;在曝光后将极紫外抗蚀剂显影,而得到抗蚀剂图案的工序;以及通过抗蚀剂图案对半导体基板进行加工的工序。
发明效果
本发明中通过涂布法在基板上形成上述薄膜,或隔着基板上的有机下层膜通过涂布法在其上形成上述薄膜,再在该薄膜上形成抗蚀剂膜(例如,光致抗蚀剂、电子束抗蚀剂、极紫外抗蚀剂)。然后通过曝光和显影形成抗蚀剂图案,使用该抗蚀剂图案对上述薄膜进行干蚀刻,从而进行图案的转印,通过该图案对基板进行加工,或通过对有机下层膜进行蚀刻来转印图案,通过该有机下层膜对基板进行加工。
在要形成微细的图案时,为了防止图案倒塌有使抗蚀剂膜厚变薄的倾向。用于通过抗蚀剂的薄膜化使存在于其下层的膜转印图案的干蚀刻,如果蚀刻速度比上层的膜还高,则不能进行图案转印。本发明中在基板上隔着有机下层膜、或不隔着有机下层膜,在基板上被覆本发明的薄膜(含有无机类钛-硅系化合物),在本发明的薄膜上被覆抗蚀剂膜(有机抗蚀剂膜)。有机类成分的膜和无机类成分的膜,根据蚀刻气体的选择不同而干蚀刻速度大大不同,有机类成分的膜用氧系气体时干蚀刻速度高,无机类成分的膜在用含卤素的气体时干蚀刻速度高。
例如抗蚀剂图案形成后,将在其下层存在的本发明的薄膜用含卤素的气体进行干蚀刻,向薄膜转印图案,借助在该薄膜上转印的图案使用含卤素的气体对基板进行加工。或、使用转印图案了的薄膜,用氧系气体对其下层的有机下层膜进行干蚀刻,从而对有机下层膜进行图案转印,借助该转印了图案的有机下层膜,使用含卤素的气体对基板进行加工。
本发明中的该薄膜作为抗蚀剂下层膜具有硬掩模功能,上述式(1)、(2)和(3)所表示的化合物的结构中的烷氧基、酰氧基、卤基等水解性基进行水解或部分水解,然后进行羟基的缩合反应,会生成聚钛氧烷(Titanoxane)(Ti-O-Ti结构)或聚有机硅氧烷(Si-O-Si结构)或Ti-O-Si结构的共聚物。本发明的薄膜以Ti-O-Si结构的共聚物作为主成分,但也可以含有聚钛氧烷(Ti-O-Ti结构)、聚有机硅氧烷(Si-O-Si结构)。这些结构具有充分的防反射功能和充分的硬掩模功能。
而且,无机聚合物(中间膜),在对其下存在的有机下层膜的蚀刻中或对基板的加工(蚀刻)中可以有效地作为硬掩模。即、相对于基板加工时或有机下层膜的氧系干蚀刻气体具有充分的耐干蚀刻性。
本发明的薄膜,具有相对于它们的上层抗蚀剂的干蚀刻速度提高性和基板加工时等的耐干蚀刻性。
而且,本发明的薄膜形成用组合物,作为极紫外抗蚀剂上层膜不会与极紫外抗蚀剂发生掺和,在进行极紫外曝光之际能够阻断不受欢迎的曝光光线例如UV、DUV而仅选择性透过极紫外光,此外可以作为在曝光后能够用显影液显影的极紫外抗蚀剂上层的膜形成用组合物使用。
在极紫外抗蚀剂的曝光之际,与极紫外光一起,UV光、DUV光也被放射出来的。该极紫外光中含有极紫外光以外的300nm以下的波长的光5%左右,例如190~300nm、190~250nm、尤其200~240nm附近的波长光会造成极紫外抗蚀剂的灵敏度降低和图案形状的劣化。在线宽为22nm以下时,开始出现该UV光和DUV光(OUT of BAND/带域外放射)的影响,对极紫外抗蚀剂的图像形成性造成不良影响。
为了除去200~240nm附近的波长光,有在光刻体系中设置滤波器的方法,但工序上复杂。本发明中能够用抗蚀剂上层的薄膜吸收极紫外曝光光线中含有的OUT of BAND光(带域外放射)、尤其是200~240nm的不受欢迎的DUV光,提高极紫外抗蚀剂的图像形成性。由于氧化钛能够选择性地有效吸收该200~240nm附近的DUV光,所以在极紫外抗蚀剂上层的薄膜中使用的无机聚合物优选含氧化钛。
并且,由于在曝光后的显影时能够与极紫外抗蚀剂一起溶解在显影液(例如,碱性显影液)中,所以能够通过显影液进行溶解除去。
而且,作为极紫外抗蚀剂的下层膜,作为与极紫外抗蚀剂不发生掺和,能够防止在极紫外曝光之际不受欢迎的曝光光线例如上述UV或DUV从基板或界面反射的极紫外抗蚀剂的下层防反射膜,可以使用本发明的薄膜形成用组合物。能够用极紫外抗蚀剂的下层有效防止反射。
而且,本发明的薄膜形成用组合物可以作为图案反转材料使用。图案反转材料是在基板上形成的抗蚀剂图案上被覆本发明的薄膜形成用组合物,使其干燥而形成薄膜。然后对薄膜进行反蚀刻使抗蚀剂图案面露出,用能够选择性除去抗蚀剂的气体(例如,氧系气体)进行干蚀刻而仅留下薄膜层,由此使图案反转。
附图说明
图1是实施例1中得到的抗蚀剂图案的截面的电镜照片。
图2是比较例1中得到的抗蚀剂图案的截面的电镜照片。
具体实施方式
本发明是在光刻工序中与抗蚀剂一起使用的薄膜形成用组合物,是含有以下混合物、该混合物的水解物、或该混合物的水解缩合物的组合物,所述混合物是由选自上述式(1)所表示的化合物、钛络合物、和水解性钛二聚体中的钛化合物(A)与上述式(2)所表示的硅化合物(B)形成的混合物,相对于该组合物中的以Ti原子和Si原子换算的总计摩尔数,Ti原子的摩尔数为50%~90%、优选是55%~90%、更优选是60%~90%。
而且,本发明是在光刻工序中与抗蚀剂一起使用的薄膜形成用组合物,是含有以下混合物、该混合物的水解物、或该混合物的水解缩合物的组合物,所述混合物是由选自上述式(1)所表示的化合物、钛络合物和水解性钛二聚体中的钛化合物(A)、和上述式(2)所表示的硅化合物(B)以及上述式(3)所表示的硅化合物(C)形成的混合物,相对于该组合物中的以Ti原子 和Si原子换算的总计摩尔数,Ti原子的摩尔数为50~90%、优选是55%~90%、更优选是60%~90%。
在使用由选自上述式(1)所表示的化合物、钛络合物、和水解性钛二聚体中的钛化合物(A)、和上述式(2)所表示的硅化合物(B)以及上述式(3)所表示的硅化合物(C)形成的混合物时,在上述式(2)所表示的硅化合物(B)和上述式(3)所表示的硅化合物(C)的硅化合物的全体中,式(2)所表示的硅化合物(B)的比例小于50摩尔%、例如是0.5~40摩尔%的比例。
本发明的薄膜形成用组合物含有以下混合物、该混合物的水解物或该混合物的水解缩合物,和溶剂,所述混合物由选自上述式(1)所表示的化合物、钛络合物、和水解性钛二聚体中的钛化合物(A)和上述式(2)所表示的硅化合物(B)、以及上述式(3)所表示的硅化合物(C)形成。
并且可以作为任意成分含有酸、水、醇、固化催化剂、产酸剂、其它的有机聚合物、吸光性化合物、和表面活性剂等。
本发明的薄膜形成用组合物中的固体成分占例如0.1~50质量%、或0.1质量%~30质量%、或0.1质量%~25质量%。在此固体成分是指从薄膜形成用组合物的全成分中去除溶剂成分后剩下的成分。
水解性钛、水解性有机硅烷、其水解物、和其水解缩合物在固体成分中所占据的比例是20质量%以上,例如50~100质量%、或60~100质量%、或70~100质量%。
本发明中使用的式(1)所表示的化合物(下文中也称作“水解性钛”。)中,R0表示通过Ti-C键与钛原子键合的,烷基、芳基、卤代烷基、卤代芳基、脂肪族烯基,或具有环氧基、丙烯酰基、甲基丙烯酰基、巯基、氨基、或氰基的有机基团。R1表示烷氧基、酰氧基、或卤原子。a表示0~2的整数。
式(1)所表示的化合物,在a为0时优选使用。
作为上述烷基,可以列举出直链或支链的碳原子数1~10的烷基、可以列举出例如甲基、乙基、正丙基、异丙基、正丁基、异丁基、仲丁基、叔丁基、正戊基、1-甲基-正丁基、2-甲基-正丁基、3-甲基-正丁基、1,1-二甲基-正丙基、1,2-二甲基-正丙基、2,2-二甲基-正丙基、1-乙基-正丙基、正 己基、1-甲基-正戊基、2-甲基-正戊基、3-甲基-正戊基、4-甲基-正戊基、1,1-二甲基-正丁基、1,2-二甲基-正丁基、1,3-二甲基-正丁基、2,2-二甲基-正丁基、2,3-二甲基-正丁基、3,3-二甲基-正丁基、1-乙基-正丁基、2-乙基-正丁基、1,1,2-三甲基-正丙基、1,2,2-三甲基-正丙基、1-乙基-1-甲基-正丙基和1-乙基-2-甲基-正丙基等。
此外上述烷基可以使用环状烷基,例如作为碳原子数1~10的环状烷基,可以列举出环丙基、环丁基、1-甲基-环丙基、2-甲基-环丙基、环戊基、1-甲基-环丁基、2-甲基-环丁基、3-甲基-环丁基、1,2-二甲基-环丙基、2,3-二甲基-环丙基、1-乙基-环丙基、2-乙基-环丙基、环己基、1-甲基-环戊基、2-甲基-环戊基、3-甲基-环戊基、1-乙基-环丁基、2-乙基-环丁基、3-乙基-环丁基、1,2-二甲基-环丁基、1,3-二甲基-环丁基、2,2-二甲基-环丁基、2,3-二甲基-环丁基、2,4-二甲基-环丁基、3,3-二甲基-环丁基、1-正丙基-环丙基、2-正丙基-环丙基、1-异丙基-环丙基、2-异丙基-环丙基、1,2,2-三甲基-环丙基、1,2,3-三甲基-环丙基、2,2,3-三甲基-环丙基、1-乙基-2-甲基-环丙基、2-乙基-1-甲基-环丙基、2-乙基-2-甲基-环丙基和2-乙基-3-甲基-环丙基等。
此外,作为碳原子数1~10的亚烷基可以例示出,由上述烷基衍生的亚烷基。
作为上述芳基可以列举出碳原子数6~20的芳基,可以列举出例如苯基、邻甲基苯基、间甲基苯基、对甲基苯基、邻氯苯基、间氯苯基、对氯苯基、邻氟苯基、对巯基苯基、邻甲氧基苯基、对甲氧基苯基、对氨基苯基、对氰基苯基、α-萘基、β-萘基、邻联苯基、间联苯基、对联苯基、1-蒽基、2-蒽基、9-蒽基、1-菲基、2-菲基、3-菲基、4-菲基和9-菲基。
作为上述脂肪族烯基可以列举出碳原子数2~10的脂肪族烯基,可以列举出例如乙烯基、1-丙烯基、2-丙烯基、1-甲基-1-乙烯基、1-丁烯基、2-丁烯基、3-丁烯基、2-甲基-1-丙烯基、2-甲基-2-丙烯基、1-乙基乙烯基、1-甲基-1-丙烯基、1-甲基-2-丙烯基、1-戊烯基、2-戊烯基、3-戊烯基、4-戊烯基、1-正丙基乙烯基、1-甲基-1-丁烯基、1-甲基-2-丁烯基、1-甲基-3-丁烯基、2-乙基-2-丙烯基、2-甲基-1-丁烯基、2-甲基-2-丁烯基、2-甲基-3- 丁烯基、3-甲基-1-丁烯基、3-甲基-2-丁烯基、3-甲基-3-丁烯基、1,1-二甲基-2-丙烯基、1-异丙基乙烯基、1,2-二甲基-1-丙烯基、1,2-二甲基-2-丙烯基、1-环戊烯基、2-环戊烯基、3-环戊烯基、1-己烯基、2-己烯基、3-己烯基、4-己烯基、5-己烯基、1-甲基-1-戊烯基、1-甲基-2-戊烯基、1-甲基-3-戊烯基、1-甲基-4-戊烯基、1-正丁基乙烯基、2-甲基-1-戊烯基、2-甲基-2-戊烯基、2-甲基-3-戊烯基、2-甲基-4-戊烯基、2-正丙基-2-丙烯基、3-甲基-1-戊烯基、3-甲基-2-戊烯基、3-甲基-3-戊烯基、3-甲基-4-戊烯基、3-乙基-3-丁烯基、4-甲基-1-戊烯基、4-甲基-2-戊烯基、4-甲基-3-戊烯基、4-甲基-4-戊烯基、1,1-二甲基-2-丁烯基、1,1-二甲基-3-丁烯基、1,2-二甲基-1-丁烯基、1,2-二甲基-2-丁烯基、1,2-二甲基-3-丁烯基、1-甲基-2-乙基-2-丙烯基、1-仲丁基乙烯基、1,3-二甲基-1-丁烯基、1,3-二甲基-2-丁烯基、1,3-二甲基-3-丁烯基、1-异丁基乙烯基、2,2-二甲基-3-丁烯基、2,3-二甲基-1-丁烯基、2,3-二甲基-2-丁烯基、2,3-二甲基-3-丁烯基、2-异丙基-2-丙烯基、3,3-二甲基-1-丁烯基、1-乙基-1-丁烯基、1-乙基-2-丁烯基、1-乙基-3-丁烯基、1-正丙基-1-丙烯基、1-正丙基-2-丙烯基、2-乙基-1-丁烯基、2-乙基-2-丁烯基、2-乙基-3-丁烯基、1,1,2-三甲基-2-丙烯基、1-叔丁基乙烯基、1-甲基-1-乙基-2-丙烯基、1-乙基-2-甲基-1-丙烯基、1-乙基-2-甲基-2-丙烯基、1-异丙基-1-丙烯基、1-异丙基-2-丙烯基、1-甲基-2-环戊烯基、1-甲基-3-环戊烯基、2-甲基-1-环戊烯基、2-甲基-2-环戊烯基、2-甲基-3-环戊烯基、2-甲基-4-环戊烯基、2-甲基-5-环戊烯基、2-亚甲基-环戊基、3-甲基-1-环戊烯基、3-甲基-2-环戊烯基、3-甲基-3-环戊烯基、3-甲基-4-环戊烯基、3-甲基-5-环戊烯基、3-亚甲基-环戊基、1-环己烯基、2-环己烯基和3-环己烯基等。
而且,作为上述卤代烷基和上述卤代芳基,可以列举出上述烷基或芳基中的1个以上的氢原子被氟原子、氯原子、溴原子、或碘原子等卤原子取代了的烷基或芳基。
作为上述具有环氧基的有机基团,可以列举出缩水甘油醚氧基甲基、缩水甘油醚氧基乙基、缩水甘油醚氧基丙基、缩水甘油醚氧基丁基、环氧环己基等。
作为上述具有丙烯酰基的有机基团,可以列举出丙烯酰基甲基、丙烯酰基乙基、丙烯酰基丙基等。
作为上述具有甲基丙烯酰基的有机基团,可以列举出甲基丙烯酰甲基、甲基丙烯酰乙基、甲基丙烯酰丙基等。
作为上述具有巯基的有机基团,可以列举出巯基乙基、巯基丁基、巯基己基、巯基辛基等。
上述具有氨基的有机基团可以列举出,氨基甲基、氨基乙基、氨基丙基等。
作为上述具有氰基的有机基团,可以列举出氰基乙基、氰基丙基等。
作为具有磺酰基的有机基团,可以列举出甲磺酰基、烯丙基磺酰基、苯基磺酰基等。
作为上述烷氧基,可以列举出例如碳原子数1~30、或例如碳原子数1~10的烷氧基,可以列举出例如甲氧基、乙氧基、正丙氧基、异丙氧基、正丁氧基、异丁氧基、仲丁氧基、叔丁氧基、正戊氧基、1-甲基-正丁氧基、2-甲基-正丁氧基、3-甲基-正丁氧基、1,1-二甲基-正丙氧基、1,2-二甲基-正丙氧基、2,2-二甲基-正丙氧基、1-乙基-正丙氧基、正己基氧基、1-甲基-正戊基氧基、2-甲基-正戊基氧基、3-甲基-正戊基氧基、4-甲基-正戊基氧基、1,1-二甲基-正丁氧基、1,2-二甲基-正丁氧基、1,3-二甲基-正丁氧基、2,2-二甲基-正丁氧基、2,3-二甲基-正丁氧基、3,3-二甲基-正丁氧基、1-乙基-正丁氧基、2-乙基-正丁氧基、1,1,2-三甲基-正丙氧基、1,2,2,-三甲基-正丙氧基、1-乙基-1-甲基-正丙氧基、和1-乙基-2-甲基-正丙氧基、苯氧基等。
作为上述酰氧基,可以列举出例如碳原子数1~30、或例如碳原子数1~10的酰氧基,可以列举出例如甲基羰基氧基、乙基羰基氧基、正丙基羰基氧基、异丙基羰基氧基、环丙基羰基氧基、正丁基羰基氧基、异丁基羰基氧基、仲丁基羰基氧基、叔丁基羰基氧基、环丁基羰基氧基、1-甲基-环丙基羰基氧基、2-甲基-环丙基羰基氧基、正戊基羰基氧基、1-甲基-正丁基羰基氧基、2-甲基-正丁基羰基氧基、3-甲基-正丁基羰基氧基、1,1-二甲基-正丙基羰基氧基、1,2-二甲基-正丙基羰基氧基、2,2-二甲基-正丙基羰基氧 基、1-乙基-正丙基羰基氧基、环戊基羰基氧基、1-甲基-环丁基羰基氧基、2-甲基-环丁基羰基氧基、3-甲基-环丁基羰基氧基、1,2-二甲基-环丙基羰基氧基、2,3-二甲基-环丙基羰基氧基、1-乙基-环丙基羰基氧基、2-乙基-环丙基羰基氧基、正己基羰基氧基、1-甲基-正戊基羰基氧基、2-甲基-正戊基羰基氧基、3-甲基-正戊基羰基氧基、4-甲基-正戊基羰基氧基、1,1-二甲基-正丁基羰基氧基、1,2-二甲基-正丁基羰基氧基、1,3-二甲基-正丁基羰基氧基、2,2-二甲基-正丁基羰基氧基、2,3-二甲基-正丁基羰基氧基、3,3-二甲基-正丁基羰基氧基、1-乙基-正丁基羰基氧基、2-乙基-正丁基羰基氧基、1,1,2-三甲基-正丙基羰基氧基、1,2,2-三甲基-正丙基羰基氧基、1-乙基-1-甲基-正丙基羰基氧基、1-乙基-2-甲基-正丙基羰基氧基、环己基羰基氧基、1-甲基-环戊基羰基氧基、2-甲基-环戊基羰基氧基、3-甲基-环戊基羰基氧基、1-乙基-环丁基羰基氧基、2-乙基-环丁基羰基氧基、3-乙基-环丁基羰基氧基、1,2-二甲基-环丁基羰基氧基、1,3-二甲基-环丁基羰基氧基、2,2-二甲基-环丁基羰基氧基、2,3-二甲基-环丁基羰基氧基、2,4-二甲基-环丁基羰基氧基、3,3-二甲基-环丁基羰基氧基、1-正丙基-环丙基羰基氧基、2-正丙基-环丙基羰基氧基、1-异丙基-环丙基羰基氧基、2-异丙基-环丙基羰基氧基、1,2,2-三甲基-环丙基羰基氧基、1,2,3-三甲基-环丙基羰基氧基、2,2,3-三甲基-环丙基羰基氧基、1-乙基-2-甲基-环丙基羰基氧基、2-乙基-1-甲基-环丙基羰基氧基、2-乙基-2-甲基-环丙基羰基氧基和2-乙基-3-甲基-环丙基羰基氧基等。
作为上述卤原子,可以列举出氟原子、氯基、溴原子、碘原子。
作为式(1)所表示的化合物(水解性钛化合物),在R1表示烷氧基时,R1既可以是相同的烷氧基,也可以是不同的烷氧基。而且,在R1表示酰氧基或卤原子时,R1既可以是相同的酰氧基或卤素,也可以是不同的酰氧基或卤素。
作为式(1)所表示的化合物(水解性钛化合物),可以列举出例如四甲氧基钛、四乙氧基钛、四异丙氧基钛、四正丁氧基钛、四异丁氧基钛、四-2-乙基己氧基钛、四(甲氧基丙氧基)钛、四苯氧基钛、四苄氧基钛、四苯基 乙氧基钛、四苯氧基乙氧基钛、四萘氧基钛、四-2-乙基己氧基钛、单乙氧基三异丙氧基钛、二异丙氧基二异丁氧基钛、丙烯氧基(聚氧乙烯)三异丙氧基钛、三异丙氧基氯化钛、二乙氧基二氯化钛、2-乙基己氧基钛、三异丙氧基碘钛、四甲氧基丙氧基钛、四甲基苯氧基钛、正壬氧基钛、四硬脂氧基钛、三异硬脂酰基单异丙氧基钛等烷氧基钛。
而且,可以列举出四甲基羰基氧钛、四乙基羰基氧钛、四正丙基羰基氧钛、四异丙基羰基氧钛、甲基羰基氧三乙基羰基氧钛、二乙基羰基氧二异丙基羰基氧钛等酰氧钛化合物。
而且,可以列举出四氟化钛、四氯化钛、四溴化钛、四碘化钛等卤化钛。
而且,作为式(1)所表示的化合物(水解性钛化合物),可以列举出水解性烷基烷氧基钛,可以列举出例如甲基三异丙氧基钛、乙基三异丙氧基钛、甲基三丁氧基钛等。
并且,作为本发明中使用的钛络合物(下文中也称作“水解性钛络合物”。),可以列举出例如钛酸四(2-乙基己醇)酯、异硬脂酰基二丙烯酰基钛酸异丙基酯、二甲基丙烯酰基异硬脂酰基钛酸异丙基酯、异丙基三(N-氨基乙基-氨基乙基)钛酸酯、三(二辛基磷酸基)钛酸异丙基酯、三异硬脂酰基钛酸异丙基酯、三辛酰基钛酸异丙基酯、异丙基三枯基苯基钛酸酯、三(二辛基焦磷酸基)钛酸异丙基酯、三(十二烷基苯磺酰基)钛酸异丙基酯、辛二醇钛酸酯、钛酸甲酚酯单体、二正丁氧基双(三乙醇胺合)钛、二异硬脂酰基钛酸乙二醇酯、双(三乙醇氨基)钛酸二异丙基酯、二异丙氧基双(乙酰丙酮)钛、二枯基苯氧基乙酸钛酸酯、二羟基双乳酸钛、钛酸硬脂基酯、异丙氧基辛二醇钛、硬脂酸钛、乳酸钛、四(2,2-二烯丙基氧甲基-1-丁基)双(二(十三烷基))亚磷酸钛酸酯、四异丙基双(二辛基亚磷酸)钛酸酯、四辛基双(二(十三烷基)亚磷酸)钛酸酯、四(2-乙基己氧基)钛、双(二辛基焦磷酸)钛酸乙二醇酯、双(二辛基焦磷酸)氧乙酸钛酸酯、二异丙氧基双(乙酰丙酮)钛、四乙酰丙酮钛、二(2-乙基己氧基)双(2-乙基-3-羟基己氧基)钛、二异丙氧基双(乙酰乙酸乙酯)钛、二异丙氧基双(三乙醇胺合)钛、乳酸钛铵盐、乳酸钛、 多羟基硬脂酸钛、以及四乙酰丙酮钛、三乙氧基·单(乙酰丙酮)钛、三-正丙氧基·单(乙酰丙酮)钛、三-异丙氧基·单(乙酰丙酮)钛、三-正丁氧基·单(乙酰丙酮)钛、三-仲丁氧基·单(乙酰丙酮)钛、三-叔丁氧基·单(乙酰丙酮)钛、二乙氧基·双(乙酰丙酮)钛、二-正丙氧基·双(乙酰丙酮)钛、二-异丙氧基·双(乙酰丙酮)钛、二-正丁氧基·双(乙酰丙酮)钛、二-仲丁氧基·双(乙酰丙酮)钛、二-叔丁氧基·双(乙酰丙酮)钛、单乙氧基·三(乙酰丙酮)钛、单-正丙氧基·三(乙酰丙酮)钛、单-异丙氧基·三(乙酰丙酮)钛、单-正丁氧基·三(乙酰丙酮)钛、单-仲丁氧基·三(乙酰丙酮)钛、单-叔丁氧基·三(乙酰丙酮)钛、四(乙酰丙酮)钛、三乙氧基·单(乙酰乙酸乙酯)钛、三-正丙氧基·单(乙酰乙酸乙酯)钛、三-异丙氧基·单(乙酰乙酸乙酯)钛、三-正丁氧基·单(乙酰乙酸乙酯)钛、三-仲丁氧基·单(乙酰乙酸乙酯)钛、三-叔丁氧基·单(乙酰乙酸乙酯)钛、二乙氧基·双(乙酰乙酸乙酯)钛、二-正丙氧基·双(乙酰乙酸乙酯)钛、二-异丙氧基·双(乙酰乙酸乙酯)钛、二-正丁氧基·双(乙酰乙酸乙酯)钛、二-仲丁氧基·双(乙酰乙酸乙酯)钛、二-叔丁氧基·双(乙酰乙酸乙酯)钛、单乙氧基·三(乙酰乙酸乙酯)钛、单-正丙氧基·三(乙酰乙酸乙酯)钛、单-异丙氧基·三(乙酰乙酸乙酯)钛、单-正丁氧基·三(乙酰乙酸乙酯)钛、单-仲丁氧基·三(乙酰乙酸乙酯)钛、单-叔丁氧基·三(乙酰乙酸乙酯)钛、四(乙酰乙酸乙酯)钛、单(乙酰丙酮)三(乙酰乙酸乙酯)钛、双(乙酰丙酮)双(乙酰乙酸乙酯)钛、三(乙酰丙酮)单(乙酰乙酸乙酯)钛、双(2,6-二氟-3-(1-氢吡咯-1-基)苯基)二茂钛、五甲基环戊二烯基三氯化钛、五甲基环戊二烯基三甲氧基钛、酞菁氧化钛、烯丙基乙酰乙酸酯三异丙氧基钛、双(三乙醇胺)二异丙氧基钛、二-正丁氧基(双-2,4-戊二酮)钛、二乙基二硫代氨基甲酸钛、二异丙氧基(双-2,4-戊二酮)钛、二异丙氧基双(四甲基庚二酮)钛、二异丙氧基双(乙酰乙酸乙酯)钛、甲基丙烯酰氧基乙酰乙酸乙酯三异丙氧基钛、(2-甲基丙烯酰氧基乙氧基)三异丙氧基钛酸酯、双(戊二酮)氧化钛、双(四甲基庚二酮)氧化钛、四(双2,2-(烯丙氧基甲基)丁氧基钛、四(二乙胺)钛、四(二甲胺)钛、三异丙氧基三正丁基锡氧化钛、三甲基丙烯酸甲氧基乙氧基乙氧基钛、四(三甲基硅氧基)钛、三(二 辛基亚磷酸)异丙氧基钛、三(十二烷基苯磺酸)异丙氧基钛、双(环戊二烯基)二氯化钛、聚(辛二醇钛酸酯)、二乙氧基硅氧烷乙基钛酸酯共聚物等钛络合物。
此外本发明中使用的水解性钛二聚体是由烷氧基钛、卤化钛、酰氧基钛通过Ti-O-Ti键二聚化而成的,具有(R1)3-Ti-O-Ti-(R1)3的结构。可以列举出例如丁氧基钛二聚体、异丁氧基钛二聚体、丙氧基钛二聚体、异丙氧基钛二聚体。
上述钛化合物(A)优选使用选自式(1)所表示的化合物和钛络合物中的钛化合物。
并且,钛化合物(A)优选使用选自式(1)所表示的化合物中的钛化合物。
本发明中使用的式(2)所表示的硅化合物(B)[以下也称作“水解性硅烷化合物(B)”。]中,R2是带氮原子的环基或含有它的有机基团、芳香族稠环基或含有它的有机基团、被保护了的酚性羟基或含有它的有机基团、或联芳基或含有它的有机基团,并且R2是通过Si-C键与硅原子键合的基团或有机基团,R3表示烷基、芳基、卤代烷基、卤代芳基、脂肪族烯基,或具有环氧基、丙烯酰基、甲基丙烯酰基、巯基、氨基、或氰基的有机基团,并且R3是通过Si-C键或Si-N键与硅原子键合的有机基团。R4表示烷氧基、酰氧基、或卤原子。a’表示整数1,b表示整数0或1,(a’+b)表示整数1或2。
烷氧基、酰氧基、和卤原子可以例示出前面所讲的。
作为上述带氮原子的环基或含有它的有机基团,可以列举出通过Si-C键与硅原子键合的式(4)所表示的有机基团。通过使用式(4)所表示的带氮原子的环基,能够提高与其它层的紧密附着性。
式(4)中,R7表示氢原子、或碳原子数1~10的烷基、脂肪族烯基、环氧基、磺酰基、或含有它们的有机基团,R8表示碳原子数1~10的亚烷基、羟基亚烷基、硫醚键、醚键、酯键、或它们的组合。
X1表示式(5)、式(6)、或式(7)。
式(5)、式(6)、和式(7)中,R9~R13分别独立地表示氢原子、或碳原子 数1~10的烷基、脂肪族烯基、环氧基、磺酰基、或含有它们的有机基团。
而且,作为上述带氮原子的环基或含有它的有机基团,可以列举出咪唑基、或含有咪唑基的有机基团。
而且,作为上述芳香族稠环基或含有它的有机基团,可以列举出萘基、或蒽基。通过使用芳香族稠环基,能够提高带域外的吸收。
而且,作为上述被保护了的酚性羟基或含有它的有机基团,可以列举出烷氧基烷基取代芳基、或烷氧基烷氧基烷基取代芳基。通过使用被保护了的酚性羟基,可以提高酸性度,提高抗蚀剂轮廓。
而且,作为上述联芳基或含有它的有机基团,可以列举出联苯基。
作为上述烷基、芳基、脂肪族烯基、卤代烷基、卤代芳基、具有环氧基的有机基团、具有丙烯酰基的有机基团、具有甲基丙烯酰基的有机基团、具有巯基的有机基团、具有氨基的有机基团、具有氰基的有机基团、具有磺酰基的有机基团,可以例示出上述中记载的。
式(2)所表示的硅化合物(B)[水解性硅烷化合物(B)]的例子可以列举以下的。
本发明中使用的式(3)所表示的硅化合物(C)[下文也称作“水解性硅烷化合物(C)”。]中,R5表示通过Si-C键与硅原子键合的,烷基、芳基、卤代烷基、卤代芳基、脂肪族烯基,或具有环氧基、丙烯酰基、甲基丙烯酰基、巯基、氨基、磺酰基、硫醚键、醚键、酯键、磺酰胺基、或氰基的有机基团。R6表示烷氧基、酰氧基、或卤原子,a’’表示0~3的整数。作为这些有机基团,可以例示出上述中记载的。
作为磺酰胺基,可以例示出苯基磺酰胺基、甲基磺酰胺基。
作为式(3)所表示的化合物[水解性硅烷化合物(C)],可以列举出例如,四甲氧基硅烷、四氯硅烷、四乙酰氧基硅烷、四乙氧基硅烷、四正丙氧基硅烷、四异丙氧基硅烷、四正丁氧基硅烷、四乙酰氧基硅烷、甲基三甲氧基硅烷、甲基三氯硅烷、甲基三乙酰氧基硅烷、甲基三丙氧基硅烷、甲基三乙酰氧基硅烷、甲基三丁氧基硅烷、甲基三丙氧基硅烷、甲基三戊氧基硅烷、甲基三苯氧基硅烷、甲基三苄基氧硅烷、甲基三苯乙基氧硅烷、缩水甘油醚氧基甲基三甲氧基硅烷、缩水甘油醚氧基甲基三乙氧基硅烷、α-缩水甘油醚氧基乙基三甲氧基硅烷、α-缩水甘油醚氧基乙基三乙氧基硅烷、β-缩水甘油醚氧基乙基三甲氧基硅烷、β-缩水甘油醚氧基乙基三乙氧基硅烷、α-缩水甘油醚氧基丙基三甲氧基硅烷、α-缩水甘油醚氧基丙基三乙氧基硅烷、β-缩水甘油醚氧基丙基三甲氧基硅烷、β-缩水甘油醚氧基丙基三乙氧基硅烷、γ-缩水甘油醚氧基丙基三甲氧基硅烷、γ-缩水甘油醚氧基丙基三乙氧基硅烷、γ-缩水甘油醚氧基丙基三丙氧基硅烷、γ-缩水甘油醚氧基丙基三丁氧基硅烷、γ-缩水甘油醚氧基丙基三苯氧基硅烷、α-缩水甘油醚氧基丁基三甲氧基硅烷、α-缩水甘油醚氧基丁基三乙氧基硅烷、β-缩水甘油醚氧基丁基三乙氧基硅烷、γ-缩水甘油醚氧基丁基三甲氧基硅烷、γ-缩水甘油醚氧基丁基三乙氧基硅烷、δ-缩水甘油醚氧基丁基三甲氧基硅烷、δ-缩水甘油醚氧基丁基三乙氧基硅烷、(3,4-环氧环己基)甲基三甲氧基硅烷、(3,4-环氧环己基)甲基三乙氧基硅烷、β-(3,4-环氧环己基)乙基三甲氧基硅烷、β-(3,4-环氧环己基)乙基三乙氧基硅烷、β-(3,4-环氧环己基)乙基三丙氧基硅烷、β-(3,4-环氧环己基)乙基三丁氧基硅烷、β-(3,4-环氧环己基)乙基三苯氧基硅烷、γ-(3,4-环氧环己基)丙基三甲氧基硅烷、γ-(3,4-环氧环己基)丙基三乙氧基硅烷、δ-(3,4-环氧环己基)丁基三甲氧基硅烷、δ-(3,4-环氧环己基)丁基三乙氧基硅烷、缩水甘油醚氧基甲基甲基二甲氧基硅烷、缩水甘油醚氧基甲基甲基二乙氧基硅烷、α-缩水甘油醚氧基乙基甲基二甲氧基硅烷、α-缩水甘油醚氧基乙基甲基二乙氧基硅烷、β-缩水甘油醚氧基 乙基甲基二甲氧基硅烷、β-缩水甘油醚氧基乙基乙基二甲氧基硅烷、α-缩水甘油醚氧基丙基甲基二甲氧基硅烷、α-缩水甘油醚氧基丙基甲基二乙氧基硅烷、β-缩水甘油醚氧基丙基甲基二甲氧基硅烷、β-缩水甘油醚氧基丙基乙基二甲氧基硅烷、γ-缩水甘油醚氧基丙基甲基二甲氧基硅烷、γ-缩水甘油醚氧基丙基甲基二乙氧基硅烷、γ-缩水甘油醚氧基丙基甲基二丙氧基硅烷、γ-缩水甘油醚氧基丙基甲基二丁氧基硅烷、γ-缩水甘油醚氧基丙基甲基二苯氧基硅烷、γ-缩水甘油醚氧基丙基乙基二甲氧基硅烷、γ-缩水甘油醚氧基丙基乙基二乙氧基硅烷、γ-缩水甘油醚氧基丙基乙烯基二甲氧基硅烷、γ-缩水甘油醚氧基丙基乙烯基二乙氧基硅烷、乙基三甲氧基硅烷、乙基三乙氧基硅烷、乙烯基三甲氧基硅烷、乙烯基三氯硅烷、乙烯基三乙酰氧基硅烷、乙烯基三乙氧基硅烷、乙烯基三乙酰氧基硅烷、苯基三甲氧基硅烷、苯基三氯硅烷、苯基三乙酰氧基硅烷、苯基三乙氧基硅烷、苯基三乙酰氧基硅烷、γ-氯丙基三甲氧基硅烷、γ-氯丙基三乙氧基硅烷、γ-氯丙基三乙酰氧基硅烷、3,3,3-三氟丙基三甲氧基硅烷、γ-甲基丙烯酰氧丙基三甲氧基硅烷、γ-巯基丙基三甲氧基硅烷、γ-巯基丙基三乙氧基硅烷、β-氰基乙基三乙氧基硅烷、氯甲基三甲氧基硅烷、氯甲基三乙氧基硅烷、二甲基二甲氧基硅烷、苯基甲基二甲氧基硅烷、二甲基二乙氧基硅烷、苯基甲基二乙氧基硅烷、γ-氯丙基甲基二甲氧基硅烷、γ-氯丙基甲基二乙氧基硅烷、二甲基二乙酰氧基硅烷、γ-甲基丙烯酰氧丙基甲基二甲氧基硅烷、γ-甲基丙烯酰氧丙基甲基二乙氧基硅烷、γ-巯基丙基甲基二甲氧基硅烷、γ-巯基甲基二乙氧基硅烷、甲基乙烯基二甲氧基硅烷、甲基乙烯基二乙氧基硅烷、苯基磺酰基氨基丙基三乙氧基硅烷、甲磺酰氨基丙基三乙氧基硅烷、苯磺酰氨基丙基三甲氧基硅烷、甲磺酰氨基丙基三甲氧基硅烷、N-[3-(三乙氧基甲硅烷基)丙基]苯磺酰胺、N-[3-(三乙氧基甲硅烷基)丙基]甲磺酰胺、N-[3-(三乙氧基甲硅烷基)丙基]烯丙磺酰胺、N-[3-(三乙氧基甲硅烷基)丙基]乙烯基磺酰胺等。
本发明中使用的水解缩合物(聚合物、共聚物)可以例示以下的。
在使用选自上述式(1)所表示的化合物、钛络合物、和水解性钛二聚体中的钛化合物(A)、和上述式(2)所表示的硅化合物(B)时,作为获得钛化合物(A)和硅化合物(B)的混合物的水解缩合物的方法,可以列举出将选自上述式(1)所表示的化合物、钛络合物、和水解性钛二聚体中的钛化合物(A)和上述式(2)所表示的硅化合物(B)水解,形成共水解缩合物(共聚物)的方 法,以及,将选自上述式(1)所表示的化合物、钛络合物、和水解性钛二聚体中的钛化合物(A)的水解缩合物、和上述式(2)所表示的硅化合物(B)的水解缩合物混合在一起的方法。
而且,可以将选自上述式(1)所表示的化合物、钛络合物、和水解性钛二聚体中的钛化合物(A)、和上述式(2)所表示的硅化合物(B)、以及上述式(3)所表示的硅化合物(C)合并使用。作为得到钛化合物(A)和硅化合物(B)和硅化合物(C)的混合物的水解缩合物的方法,可以列举出将选自上述式(1)所表示的化合物、钛络合物、和水解性钛二聚体中的钛化合物(A)、和上述式(2)所表示的硅化合物(B)以及上述式(3)所表示的硅化合物(C)水解,形成共水解缩合物(共聚物)的方法,以及,将选自上述式(1)所表示的化合物、钛络合物、和水解性钛二聚体中的钛化合物(A)的水解缩合物、和上述式(2)所表示的硅化合物(B)的水解缩合物以及上述式(3)所表示的硅化合物(C)的水解缩合物混合在一起的方法。
上述水解缩合物是聚合物、或共聚物,可以作为重均分子量300~1000000、300~100000、或300~20000的缩合物得到。这些分子量是通过GPC分析,经聚苯乙烯换算而得到的分子量。GPC的测定条件是例如:GPC装置(商品名HLC-8220GPC、东ソー株式会社制)、GPC柱(商品名ShodexKF803L、KF802、KF801、昭和电工株式会社制)、柱温是40℃、洗脱液(洗脱溶剂)是四氢呋喃、流量(流速)是1.0mL/min,标准试验使用聚苯乙烯(昭和电工株式会社制)。
为了得到上述水解缩合物,在烷氧基甲硅烷基、酰氧基甲硅烷基、或卤代甲硅烷基的水解中使用相对于水解性基1摩尔为0.5~100摩尔、优选1~10摩尔的水。
而且,可以使用相对于水解性基1摩尔为0.001~10摩尔、优选是0.001~1摩尔的水解催化剂。
进行水解和缩合时的反应温度通常是20~120℃。
水解可以完全地进行水解,也可以进行部分水解。即、在水解缩合物中也可以残留水解物、单体。
水解缩合之际可以使用催化剂。
作为水解催化剂,可以列举出金属络合化合物、有机酸、无机酸、有机碱、无机碱。
作为上述水解催化剂的金属络合化合物,可以列举出例如三乙氧基·单(乙酰丙酮)锆、三正丙氧基·单(乙酰丙酮)锆、三异丙氧基·单(乙酰丙酮)锆、三正丁氧基·单(乙酰丙酮)锆、三仲丁氧基·单(乙酰丙酮)锆、三叔丁氧基·单(乙酰丙酮)锆、二乙氧基·双(乙酰丙酮)锆、二正丙氧基·双(乙酰丙酮)锆、二异丙氧基·双(乙酰丙酮)锆、二正丁氧基·双(乙酰丙酮)锆、二仲丁氧基·双(乙酰丙酮)锆、二叔丁氧基·双(乙酰丙酮)锆、单乙氧基·三(乙酰丙酮)锆、单正丙氧基·三(乙酰丙酮)锆、单异丙氧基·三(乙酰丙酮)锆、单正丁氧基·三(乙酰丙酮)锆、单仲丁氧基·三(乙酰丙酮)锆、单叔丁氧基·三(乙酰丙酮)锆、四(乙酰丙酮)锆、三乙氧基·单(乙酰乙酸乙酯)锆、三正丙氧基·单(乙酰乙酸乙酯)锆、三异丙氧基·单(乙酰乙酸乙酯)锆、三正丁氧基·单(乙酰乙酸乙酯)锆、三仲丁氧基·单(乙酰乙酸乙酯)锆、三叔丁氧基·单(乙酰乙酸乙酯)锆、二乙氧基·双(乙酰乙酸乙酯)锆、二正丙氧基·双(乙酰乙酸乙酯)锆、二异丙氧基·双(乙酰乙酸乙酯)锆、二正丁氧基·双(乙酰乙酸乙酯)锆、二仲丁氧基·双(乙酰乙酸乙酯)锆、二叔丁氧基·双(乙酰乙酸乙酯)锆、单乙氧基·三(乙酰乙酸乙酯)锆、单正丙氧基·三(乙酰乙酸乙酯)锆、单异丙氧基·三(乙酰乙酸乙酯)锆、单正丁氧基·三(乙酰乙酸乙酯)锆、单仲丁氧基·三(乙酰乙酸乙酯)锆、单叔丁氧基·三(乙酰乙酸乙酯)锆、四(乙酰乙酸乙酯)锆、单(乙酰丙酮)三(乙酰乙酸乙酯)锆、双(乙酰丙酮)双(乙酰乙酸乙酯)锆、三(乙酰丙酮)单(乙酰乙酸乙酯)锆等锆络合物;三(乙酰丙酮)铝、三(乙酰乙酸乙酯)铝等铝络合物;等等。
作为上述水解催化剂的有机酸,可举出例如乙酸、丙酸、丁酸、戊酸、己酸、庚酸、辛酸、壬酸、癸酸、草酸、马来酸、甲基丙二酸、己二酸、癸二酸、没食子酸、丁酸、苯六甲酸、花生四烯酸、莽草酸、2-乙基己酸、油酸、硬脂酸、亚油酸、亚麻酸、水杨酸、苯甲酸、对氨基苯甲酸、对甲苯磺酸、苯磺酸、一氯乙酸、二氯乙酸、三氯乙酸、三氟乙酸、甲酸、丙 二酸、磺酸、邻苯二甲酸、富马酸、柠檬酸、酒石酸等。
作为上述水解催化剂的无机酸,可举出例如盐酸、硝酸、硫酸、氢氟酸、磷酸等。
作为上述水解催化剂的有机碱,可举出例如吡啶、吡咯、哌嗪、吡咯烷、哌啶、皮考啉、三甲胺、三乙胺、单乙醇胺、二乙醇胺、二甲基单乙醇胺、单甲基二乙醇胺、三乙醇胺、二氮杂二环辛烷、二氮杂二环壬烷、二氮杂二环十一碳烯、四甲基氢氧化铵等。
作为上述水解催化剂的无机碱,可以列举出例如氨、氢氧化钠、氢氧化钾、氢氧化钡、氢氧化钙等。
这些催化剂中,优选为金属络合物、有机酸、无机酸,它们可以使用1种或2种以上同时使用。
作为上述水解中所使用的有机溶剂,可举出例如正戊烷、异戊烷、正己烷、异己烷、正庚烷、异庚烷、2,2,4-三甲基戊烷、正辛烷、异辛烷、环己烷、甲基环己烷等脂肪族烃系溶剂;苯、甲苯、二甲苯、乙苯、三甲基苯、甲基乙基苯、正丙基苯、异丙基苯、二乙基苯、异丁基苯、三乙基苯、二异丙基苯、正戊基萘、三甲基苯等芳香族烃系溶剂;甲醇、乙醇、正丙醇、异丙醇、正丁醇、异丁醇、仲丁醇、叔丁醇、正戊醇、异戊醇、2-甲基丁醇、仲戊醇、叔戊醇、3-甲氧基丁醇、正己醇、2-甲基戊醇、仲己醇、2-乙基丁醇、仲庚醇、庚醇-3、正辛醇、2-乙基己醇、仲辛醇、正壬醇、2,6-二甲基庚醇-4、正癸醇、仲十一烷醇、三甲基壬醇、仲十四烷醇、仲十七烷醇、苯酚、环己醇、甲基环己醇、3,3,5-三甲基环己醇、苄醇、苯基甲基甲醇、双丙酮醇、甲酚等一元醇系溶剂;乙二醇、丙二醇、1,3-丁二醇、戊二醇-2,4、2-甲基戊二醇-2,4、己二醇-2,5、庚二醇-2,4、2-乙基己二醇-1,3、二甘醇、双丙甘醇、三甘醇、三丙二醇、甘油等多元醇系溶剂;丙酮、甲基乙基甲酮、甲基-正丙基甲酮、甲基-正丁基甲酮、二乙基甲酮、甲基-异丁基甲酮、甲基-正戊基甲酮、乙基-正丁基甲酮、甲基-正己基甲酮、二异丁基甲酮、三甲基壬酮、环己酮、甲基环己酮、2,4-戊二酮、丙酮基丙酮、双丙酮醇、苯乙酮、葑酮等酮系溶 剂;乙醚、异丙基醚、正丁基醚、正己基醚、2-乙基己基醚、氧化乙烯、1,2-氧化丙烯、二氧戊环、4-甲基二氧戊环、二烷、二甲基二烷、乙二醇单甲基醚、乙二醇单乙基醚、乙二醇二乙基醚、乙二醇单正丁基醚、乙二醇单正己基醚、乙二醇单苯基醚、乙二醇单-2-乙基丁基醚、乙二醇二丁基醚、二甘醇单甲基醚、二甘醇单乙基醚、二甘醇二乙基醚、二甘醇单-正丁基醚、二甘醇二正丁基醚、二甘醇单正己基醚、乙氧基三乙二醇、四甘醇二正丁基醚、丙二醇单甲基醚、丙二醇单乙基醚、丙二醇单丙基醚、丙二醇单丁基醚、双丙甘醇单甲基醚、双丙甘醇单乙基醚、双丙甘醇单丙基醚、双丙甘醇单丁基醚、三丙二醇单甲基醚、四氢呋喃、2-甲基四氢呋喃等醚系溶剂;碳酸二乙酯、乙酸甲酯、乙酸乙酯、γ-丁内酯、γ-戊内酯、乙酸正丙酯、乙酸异丙酯、乙酸正丁酯、乙酸异丁酯、乙酸仲丁酯、乙酸正戊酯、乙酸仲戊酯、乙酸3-甲氧基丁酯、乙酸甲基戊酯、乙酸2-乙基丁酯、乙酸2-乙基己酯、乙酸苄酯、乙酸环己酯、乙酸甲基环己酯、乙酸正壬酯、乙酰乙酸甲酯、乙酰乙酸乙酯、乙酸乙二醇单甲基醚酯、乙酸乙二醇单乙基醚酯、乙酸二甘醇单甲基醚酯、乙酸二甘醇单乙基醚酯、乙酸二甘醇单正丁基醚酯、乙酸丙二醇单甲基醚酯、乙酸丙二醇单乙基醚酯、乙酸丙二醇单丙基醚酯、乙酸丙二醇单丁基醚酯、乙酸双丙甘醇单甲基醚酯、乙酸双丙甘醇单乙基醚酯、乙二醇二乙酸酯、乙酸甲氧基三乙二醇酯、丙酸乙酯、丙酸正丁酯、丙酸异戊酯、草酸二乙酯、草酸二正丁酯、乳酸甲酯、乳酸乙酯、乳酸正丁酯、乳酸正戊酯、丙二酸二乙酯、邻苯二甲酸二甲酯、邻苯二甲酸二乙酯等酯系溶剂;丙二醇单甲醚乙酸酯、丙二醇单乙醚乙酸酯、丙二醇单丙醚乙酸酯等醚酯系溶剂;N-甲基甲酰胺、N,N-二甲基甲酰胺、N,N-二乙基甲酰胺、乙酰胺、N-甲基乙酰胺、N,N-二甲基乙酰胺、N-甲基丙酰胺、N-甲基吡咯烷酮等含氮系溶剂;二甲基硫醚、二乙基硫醚、噻吩、四氢噻吩、二甲亚砜、环丁砜、1,3-丙烷磺内酯等含硫系溶剂等。这些溶剂可以使用1种或2种以上组合使用。
其中,优选丙二醇单甲醚、丙二醇单乙醚、丙二醇单丙醚、丙二醇单丁醚、丙二醇二甲醚、丙二醇二乙醚、丙二醇单甲醚乙酸酯、丙二醇单乙 醚乙酸酯、丙二醇单丙醚乙酸酯。
而且,优选丙酮等酮类、四氢呋喃等非醇系的极性溶剂,优选使用式(2)所表示的水解性硅烷化合物(B)(水解时硅烷化合物的分子中具有2~3个水解基的硅烷化合物)作为原料的情况。但、水解性硅烷化合物(B)的分子中具有5~9个水解基的硅烷,在这样的丙酮溶剂中容易过度进行水解和缩合而凝胶化。
使水解性钛、水解性硅烷化合物(B)和水解性硅烷化合物(C)在溶剂中,在使用催化剂或不使用催化剂的情况下水解并缩合,将所得到的水解缩合物(聚合物、共聚物)进行减压蒸馏等,由此可以将副生成的醇、反应中使用的水解催化剂和水同时除去。而且,水解中使用的酸、碱性催化剂可以通过中和或离子交换除去。
并且在本发明的光刻工序中使用的薄膜形成用组合物中,为了稳定化,可以添加酸(有机酸)、盐、水、醇、或它们的组合。
作为上述有机酸,可以列举出例如草酸、丙二酸、甲基丙二酸、琥珀酸、马来酸、苹果酸、酒石酸、邻苯二甲酸、柠檬酸、戊二酸、柠檬酸、乳酸、水杨酸等。尤其优选是草酸、马来酸、乙酸、丙酸、丁酸、吉草酸、己酸、庚酸、辛烷酸、丙烯酸、甲基丙烯酸、巴豆酸、乙烯基乙酸、己烯酸、富马酸、苯基乙酸、苯基丙酸、三苯基乙酸、肉桂酸、苯甲酸、偏苯三甲酸、均苯四甲酸等。加入的机酸相对于缩合物(聚钛氧烷、聚有机硅氧烷、共聚物、或它们的组合)100质量份为0.5~5.0质量份。
此外加入的水可以使用纯水、超纯水、离子交换水等,其添加量相对于薄膜形成用组合物100质量份为1~20质量份。
本发明的光刻工序中使用的薄膜形成用组合物可以含有β-二酮和/或β-酮酯。β-二酮和/或β-酮酯可以使用下述所示的作为溶剂的一部分。
作为β-二酮和/或β-酮酯,可以列举出例如乙酰丙酮、乙酰乙酸甲酯、乙酰乙酸乙酯、乙酰乙酸正丙酯、乙酰乙酸异丙酯、乙酰乙酸正丁酯、乙酰乙酸异丁酯、乙酰乙酸仲丁酯、乙酰乙酸叔丁酯、2,4-己二酮、2,4-戊二酮、2,4-庚二酮、3,5-庚二酮、2,4-辛二酮、3,5-辛二酮、2,4-壬二酮、3,5- 壬二酮、5-甲基-2,4-己二酮等。这些化合物中优选乙酰丙酮、乙酰乙酸乙酯。该成分可以单独使用,或2种以上混合使用。该β-二酮和/或β-酮酯,相对于缩合物(聚钛氧烷、聚有机硅氧烷、共聚物、或它们的组合)100质量份可以使用1~2000质量份、或10~500质量份。
而且,本发明的光刻工序中使用的薄膜形成用组合物,还可以添加可以与钛或硅形成配位化合物的配体化合物。作为这样的配体化合物,可以列举出烯丙醇。
而且,作为添加剂可以添加双酚S、或双酚S衍生物。双酚S、或双酚S衍生物,相对于缩合物(聚钛氧烷、聚有机硅氧烷、共聚物、或它们的组合)100质量份有0.01~20质量份、或0.01~10质量份、或0.01~5质量份。
优选的双酚S、或双酚S衍生物可以列举出以下的。
本发明的薄膜形成用组合物可以含有盐。盐,在使含有缩合物(聚钛氧烷、聚有机硅氧烷、共聚物、或它们的组合)的涂膜加热、固化时能够发挥固化催化剂的作用。
作为盐,可以使用铵盐、膦类、盐、锍盐。
作为铵盐,可举出具有式(E-1)所示的结构的季铵盐、具有式(E-2)所示的结构的季铵盐、具有式(E-3)所示的结构的季铵盐、具有式(E-4)所示的结构的季铵盐、具有式(E-5)所示的结构的季铵盐、具有式(E-6)所示的结构的叔铵盐。
(其中,m表示2~11的整数,n表示2~3的整数,R14表示烷基或芳基,Y表示阴离子。)
R15R16R17R18N+Y-式(E-2)
(其中,R15、R16、R17和R18表示烷基或芳基,N表示氮原子,Y-表示阴离子,并且R15、R16、R17和R18分别通过C-N键而与氮原子结合)
(其中,R19和R20表示烷基或芳基,Y表示阴离子)
(其中,R21表示烷基或芳基,Y表示阴离子)
(其中,R22和R23分别独立地表示烷基或芳基,Y表示阴离子。)
(其中,m表示2~11的整数,n表示2~3的整数,H表示氢原子,Y 表示阴离子)
此外,作为盐,可举出式(E-7)所示的季盐。
R24R25R26R27p+Y- 式(E-7)
(其中,R24、R25、R26和R27表示烷基或芳基,P表示磷原子,Y表示阴离子,并且R24、R25、R26和R27分别通过C-P键而与磷原子结合)
此外,作为锍盐,可举出式(E-8)所示的叔锍盐。
R28R29R30S+Y- 式(E-8)
(其中,R28、R29和R30表示烷基或芳基,S表示硫原子,Y表示阴离子,并且R28、R29和R30分别通过C-S键而与硫原子结合)
上述式(E-1)所示的化合物为由胺衍生的季铵盐,m表示2~11的整数,n表示2~3的整数。该季铵盐的R14表示碳原子数1~18,优选为2~10的烷基或芳基,可举出例如,乙基、丙基、丁基等直链烷基、苄基、环己基、环己基甲基、二聚环戊二烯基等。
此外作为阴离子(Y),可举出氯离子(Cl)、溴离子(Br)、碘离子(I)等卤离子、羧酸根(-COO)、磺酸根(-SO3 )、烃氧离子(-O)等酸根。
上述式(E-2)所示的化合物为R15R16R17R18NY所示的季铵盐。该季铵盐的R15、R16、R17和R18为碳原子数1~18的烷基或芳基,或通过Si-C键而与硅原子结合的硅烷化合物。
作为阴离子(Y),可举出氯离子(Cl)、溴离子(Br)、碘离子(I)等卤离子、羧酸根(-COO)、磺酸根(-SO3 )、烃氧离子(-O)等酸根。
该季铵盐能够由市售品获得,可例示例如乙酸四甲基铵、乙酸四丁基铵、氯化三乙基苄基铵、溴化三乙基苄基铵、氯化三辛基甲基铵、氯化三丁基苄基铵、氯化三甲基苄基铵等。
上述式(E-3)所示的化合物为由1-取代咪唑衍生的季铵盐,R19和R20为分别独立的表示碳原子数1~18的烷基或芳基,优选R19和R20的碳原子数的总和为7以上。例如作为R19,可以例示甲基、乙基、丙基、苯基、苄基,R20可以例示苄基、辛基、十八烷基。
作为阴离子(Y),可举出氯离子(Cl)、溴离子(Br)、碘离子(I)等卤离子、羧酸根(-COO)、磺酸根(-SO3 )、烃氧离子(-O)等酸根。
该化合物也可以由市售品获得,例如可以使1-甲基咪唑、1-苄基咪唑等咪唑系化合物与苄基溴、甲基溴等卤代烷、芳基卤反应来制造。
上述式(E-4)所示的化合物为由吡啶衍生的季铵盐,R21表示碳原子数1~18,优选碳原子数4~18的烷基或芳基,可以例示例如丁基、辛基、苄基、月桂基。
作为阴离子(Y),可举出氯离子(Cl)、溴离子(Br)、碘离子(I)等卤离子、羧酸根(-COO)、磺酸根(-SO3 )、烃氧离子(-O)等酸根。
该化合物也可以作为市售品而获得,但例如可以使吡啶与月桂基氯、苄基氯、苄基溴、甲基溴、辛基溴等烷基卤、或芳基卤进行反应来制造。作为该化合物,可以例示例如,氯化N-月桂基吡啶溴化N-苄基吡啶等。
上述式(E-5)所示的化合物为由以皮考啉等为代表的取代吡啶衍生的季铵盐,R22表示碳原子数1~18,优选4~18的烷基或芳基,可以例示例如甲基、辛基、月桂基、苄基等。R23表示碳原子数1~18的烷基或芳基, 例如为由皮考啉衍生的季铵的情况下,R23表示甲基。
作为阴离子(Y),可举出氯离子(Cl)、溴离子(Br)、碘离子(I)等卤离子、羧酸根(-COO)、磺酸根(-SO3 )、烃氧离子(-O)等酸根。
该化合物也可以作为市售品而获得,但例如可以使皮考啉等取代吡啶与甲基溴、辛基溴、月桂基氯、苄基氯、苄基溴等烷基卤、或芳基卤进行反应来制造。作为该化合物,可以例示例如,氯化N-苄基皮考啉溴化N-苄基皮考啉氯化N-月桂基皮考啉等。
上述式(E-6)所示的化合物为由胺衍生的叔铵盐,m表示2~11的整数,n表示2~3的整数。
此外作为阴离子(Y),可举出氯离子(Cl)、溴离子(Br)、碘离子(I)等卤离子、羧酸根(-COO)、磺酸根(-SO3 )、烃氧离子(-O)等酸根。
该化合物可以通过胺与羧酸、苯酚等弱酸的反应来制造。作为羧酸,可举出甲酸、乙酸,在使用甲酸的情况下,阴离子(Y)为(HCOO),在使用乙酸的情况下,阴离子(Y)为(CH3COO)。此外在使用苯酚的情况下,阴离子(Y)为(C6H5O)。
上述式(E-7)所示的化合物为具有R24R25R26R27PY的结构的季盐。R24、R25、R26和R27分别独立地为碳原子数1~18的烷基或芳基,或通过Si-C键而与硅原子结合的硅烷化合物,但优选R24~R27这4个取代基中的3个为苯基或被取代了的苯基,可以例示例如苯基、甲苯基,此外剩下的1个为碳原子数1~18的烷基、芳基、或通过Si-C键而与硅原子结合的硅烷化合物。
此外作为阴离子(Y),可举出氯离子(Cl)、溴离子(Br)、碘离子(I)等卤离子、羧酸根(-COO)、磺酸根(-SO3 )、烃氧离子(-O)等酸根。该化合物能够作为市售品而获得,可举出例如卤化四正丁基卤化四正丙基等卤化四烷基卤化三乙基苄基等卤化三烷基苄基卤化三苯基甲基卤化三苯基乙基等卤化三苯基单烷基卤化三苯基苄基 卤化四苯基卤化三甲苯基单芳基或卤化三甲苯基单烷基(卤原子为氯原子或溴原子)。特别优选为卤化三苯基甲基卤化三苯 基乙基等卤化三苯基单烷基卤化三苯基苄基等卤化三苯基单芳基 卤化三甲苯基单苯基等卤化三甲苯基单芳基卤化三甲苯基单甲基等卤化三甲苯基单烷基(卤原子为氯原子或溴原子)。
此外,作为膦类,可举出甲基膦、乙基膦、丙基膦、异丙基膦、异丁基膦、苯基膦等伯膦、二甲基膦、二乙基膦、二异丙基膦、二异戊基膦、二苯基膦等仲膦、三甲基膦、三乙基膦、三苯基膦、甲基二苯基膦、二甲基苯基膦等叔膦。
上述式(E-8)所示的化合物为具有R28R29R30SY的结构的叔锍盐。R28、R29和R30分别独立地表示碳原子数1~18的烷基或芳基,或通过Si-C键而与硅原子结合的硅烷化合物,但优选R28~R30这3个取代基中的2个为苯基或被取代了的苯基,可以例示例如苯基、甲苯基,此外剩下的1个为碳原子数1~18的烷基、或芳基。此外作为阴离子(Y-),可举出氯离子(Cl)、溴离子(Br)、碘离子(I)等卤离子、羧酸根(-COO)、磺酸根(-SO3 )、烃氧离子(-O)等酸根。
该化合物能够作为市售品而获得,可举出例如卤化三正丁基锍、卤化三正丙基锍等卤化四烷基卤化二乙基苄基锍等卤化三烷基苄基锍、卤化二苯基甲基锍、卤化二苯基乙基锍等卤化二苯基单烷基锍、卤化三苯基锍(上面的卤原子为氯原子或溴原子),三正丁基锍羧酸盐、三正丙基锍羧酸盐等四烷基羧酸盐、二乙基苄基锍羧酸盐等三烷基苄基锍羧酸盐、二苯基甲基锍羧酸盐、二苯基乙基锍羧酸盐等二苯基单烷基锍羧酸盐、三苯基锍羧酸盐。特别优选为卤化三苯基锍、三苯基锍羧酸盐。
上述盐相对于缩合物(聚钛氧烷、聚有机硅氧烷、共聚物、或它们的组合)100质量份为0.01~10质量份、或0.01~5质量份、或0.01~3质量份。
本发明的光刻工序中使用的薄膜形成用组合物除了上述成分以外,可以根据需要包含有机聚合物化合物、光产酸剂和表面活性剂等。
通过使用有机聚合物化合物,可以调整由本发明光刻工序中使用的薄膜形成用组合物形成的薄膜的干蚀刻速度(每单位时间的膜厚减少量)、衰减系数和折射率等。
作为有机聚合物化合物,没有特别限制,可以使用各种有机聚合物。可以使用缩聚物和加聚物等。可以使用聚酯、聚苯乙烯、聚酰亚胺、丙烯酸系聚合物、甲基丙烯酸系聚合物、聚乙烯基醚、苯酚酚醛清漆、萘酚酚醛清漆、聚醚、聚酰胺、聚碳酸酯等加聚物和缩聚物。
其中,优选使用具有作为吸光部位起作用的苯环、萘环、蒽环、三嗪环、喹啉环和喹喔啉环等芳香环结构的有机聚合物。
作为这样的有机聚合物化合物,可举出例如,包含丙烯酸苄酯、甲基丙烯酸苄酯、丙烯酸苯酯、丙烯酸萘酯、甲基丙烯酸蒽酯、甲基丙烯酸蒽甲酯、苯乙烯、羟基苯乙烯、苄基乙烯基醚和N-苯基马来酰亚胺等加聚性单体作为其结构单元的加聚物、苯酚酚醛清漆和萘酚酚醛清漆等缩聚物。
在使用加聚物作为上述有机聚合物化合物的情况下,该聚合物化合物可以为均聚物也可以为共聚物。加聚物的制造时可以使用加聚性单体。作为这样的加聚性单体,可举出丙烯酸、甲基丙烯酸、丙烯酸酯化合物、甲基丙烯酸酯化合物、丙烯酰胺化合物、甲基丙烯酰胺化合物、乙烯基化合物、苯乙烯化合物、马来酰亚胺化合物、马来酸酐、丙烯腈等。
作为上述丙烯酸酯化合物,可举出丙烯酸甲酯、丙烯酸乙酯、丙烯酸正己酯、丙烯酸异丙酯、丙烯酸环己酯、丙烯酸苄酯、丙烯酸苯酯、丙烯酸蒽甲酯、丙烯酸2-羟基乙酯、丙烯酸3-氯-2-羟基丙酯、丙烯酸2-羟基丙酯、丙烯酸2,2,2-三氟乙酯、丙烯酸2,2,2-三氯乙酯、丙烯酸2-溴乙酯、丙烯酸4-羟基丁酯、丙烯酸2-甲氧基乙酯、丙烯酸四氢糠酯、丙烯酸2-甲基-2-金刚烷基酯、5-丙烯酰氧基-6-羟基降冰片烯-2-羧酸-6-内酯、3-丙烯酰氧基丙基三乙氧基硅烷和丙烯酸缩水甘油酯等。
作为上述甲基丙烯酸酯化合物,可举出甲基丙烯酸甲酯、甲基丙烯酸乙酯、甲基丙烯酸正己酯、甲基丙烯酸异丙酯、甲基丙烯酸环己酯、甲基丙烯酸苄酯、甲基丙烯酸苯酯、甲基丙烯酸蒽甲酯、甲基丙烯酸2-羟基乙酯、甲基丙烯酸2-羟基丙酯、甲基丙烯酸2,2,2-三氟乙酯、甲基丙烯酸2,2,2-三氯乙酯、甲基丙烯酸2-溴乙酯、甲基丙烯酸4-羟基丁酯、甲基丙烯酸2-甲氧基乙酯、甲基丙烯酸四氢糠酯、甲基丙烯酸2-甲基-2-金刚烷基酯、5-甲基丙烯酰氧基-6-羟基降冰片烯-2-羧酸-6-内酯、3-甲基丙烯酰氧基丙基三乙氧基硅烷、甲基丙烯酸缩水甘油酯、甲基丙烯酸2-苯基乙酯、甲基丙烯酸羟基苯酯和甲基丙烯酸溴苯酯等。
作为上述丙烯酰胺化合物,可举出丙烯酰胺、N-甲基丙烯酰胺、N-乙基丙烯酰胺、N-苄基丙烯酰胺、N-苯基丙烯酰胺、N,N-二甲基丙烯酰胺和N-蒽基丙烯酰胺等。
关于上述甲基丙烯酰胺化合物,可举出甲基丙烯酰胺、N-甲基甲基丙烯酰胺、N-乙基甲基丙烯酰胺、N-苄基甲基丙烯酰胺、N-苯基甲基丙烯酰胺、N,N-二甲基甲基丙烯酰胺和N-蒽基丙烯酰胺等。
作为上述乙烯基化合物,可举出乙烯醇、2-羟基乙基乙烯基醚、甲基乙烯基醚、乙基乙烯基醚、苄基乙烯基醚、乙烯基乙酸、乙烯基三甲氧基硅烷、2-氯乙基乙烯基醚、2-甲氧基乙基乙烯基醚、乙烯基萘和乙烯基蒽等。
作为上述苯乙烯化合物,可举出苯乙烯、羟基苯乙烯、氯苯乙烯、溴苯乙烯、甲氧基苯乙烯、氰基苯乙烯和乙酰苯乙烯等。
作为上述马来酰亚胺化合物,可举出马来酰亚胺、N-甲基马来酰亚胺、N-苯基马来酰亚胺、N-环己基马来酰亚胺、N-苄基马来酰亚胺和N-羟基乙基马来酰亚胺等。
在使用缩聚物作为上述有机聚合物化合物的情况,作为这样的有机聚合物,可举出例如,二醇化合物与二羧酸化合物的缩聚物。
作为二醇化合物,可举出二甘醇、1,6-己二醇、丁二醇等。
作为二羧酸化合物,可举出琥珀酸、己二酸、对苯二甲酸、马来酸酐等。
此外,作为缩聚物,可举出例如,聚均苯四甲酰二亚胺、聚(对苯二甲酰对苯二胺)、聚对苯二甲酸丁二醇酯、聚对苯二甲酸乙二醇酯等聚酯、聚酰胺、聚酰亚胺。
在上述有机聚合物化合物中含有羟基的情况下,该羟基可以与聚有机 硅氧烷形成交联反应。
作为有机聚合物化合物,可以使用重均分子量为例如1000~1000000,或3000~300000,或5000~200000,或10000~100000的聚合物化合物。
有机聚合物化合物可以仅使用一种,或可以二种以上组合使用。
在使用有机聚合物化合物的情况下,作为其比例,相对于缩合物(聚钛氧烷、聚有机硅氧烷、共聚物、或它们的组合)100质量份为1~200质量份、或5~100质量份、或10~50质量份、或20~30质量份。
本发明的薄膜形成用组合物中,可以含有产酸剂。
作为产酸剂,可举出热产酸剂、光产酸剂。
光产酸剂在抗蚀剂的曝光时产生酸。因此,可以调整下层膜的酸度。这是用于使下层膜的酸度与上层的抗蚀剂的酸度相符合的一种方法。此外,通过调整下层膜的酸度,可以调整上层所形成的抗蚀剂的图案形状。
作为本发明的薄膜形成用组合物中所包含的光产酸剂,可举出盐化合物、磺酰亚胺化合物和二磺酰基重氮甲烷化合物等。
作为上述盐化合物,可举出二苯基碘六氟磷酸盐、二苯基碘三氟甲磺酸盐、二苯基碘九氟正丁磺酸盐、二苯基碘全氟正辛磺酸盐、二苯基碘樟脑磺酸盐、双(4-叔丁基苯基)碘樟脑磺酸盐和双(4-叔丁基苯基)碘三氟甲磺酸盐等碘盐化合物、和三苯基锍六氟锑酸盐、三苯基锍九氟正丁磺酸盐、三苯基锍樟脑磺酸盐和三苯基锍三氟甲磺酸盐等锍盐化合物等。
作为磺酰亚胺化合物,可举出例如N-(三氟甲磺酰氧基)琥珀酰亚胺、N-(九氟正丁磺酰基氧基)琥珀酰亚胺、N-(樟脑磺酰基氧基)琥珀酰亚胺和N-(三氟甲磺酰氧基)萘二甲酰亚胺等。
作为二磺酰基重氮甲烷化合物,可举出例如,双(三氟甲基磺酰基)重氮甲烷、双(环己基磺酰基)重氮甲烷、双(苯基磺酰基)重氮甲烷、双(对甲苯磺酰基)重氮甲烷、双(2,4-二甲基苯磺酰基)重氮甲烷和甲基磺酰基-对甲苯磺酰基重氮甲烷等。
上述光产酸剂可以仅使用一种,或可以二种以上组合使用。
在使用光产酸剂的情况下,作为其比例,相对于缩合物(聚钛氧烷、聚有机硅氧烷、共聚物、或它们的组合)100质量份为0.01~5质量份、或0.1~3质量份、或0.5~1质量份。
表面活性剂,在将本发明的薄膜形成用组合物涂布于基板时,对于抑制针孔和条纹等的发生是有效的。
作为本发明的薄膜形成用组合物中包含的表面活性剂,可举出例如,聚氧乙烯月桂基醚、聚氧乙烯硬脂基醚、聚氧乙烯鲸蜡基醚、聚氧乙烯油基醚等聚氧乙烯烷基醚类、聚氧乙烯辛基苯酚醚、聚氧乙烯壬基苯酚醚等聚氧乙烯烷基芳基醚类、聚氧乙烯-聚氧丙烯嵌段共聚物类、失水山梨糖醇单月桂酸酯、失水山梨糖醇单棕榈酸酯、失水山梨糖醇单硬脂酸酯、失水山梨糖醇单油酸酯、失水山梨糖醇三油酸酯、失水山梨糖醇三硬脂酸酯等失水山梨糖醇脂肪酸酯类、聚氧乙烯失水山梨糖醇单月桂酸酯、聚氧乙烯失水山梨糖醇单棕榈酸酯、聚氧乙烯失水山梨糖醇单硬脂酸酯、聚氧乙烯失水山梨糖醇三油酸酯、聚氧乙烯失水山梨糖醇三硬脂酸酯等聚氧乙烯失水山梨糖醇脂肪酸酯类等非离子系表面活性剂、商品名エフトップEF301、EF303、EF352((株)トーケムプロダクツ制)、商品名メガファックF171、F173、R-08、R-30(大日本インキ化学工业(株)制)、フロラードFC430、FC431(住友スリーエム(株)制)、商品名アサヒガードAG710、サーフロンS-382、SC101、SC102、SC103、SC104、SC105、SC106(旭硝子(株)制)等氟系表面活性剂、和オルガノシロキサンポリマ-KP341(信越化学工业(株)制)等。
这些表面活性剂可以单独使用,此外还可以二种以上组合使用。在使用表面活性剂时,其比例相对于缩合物(聚钛氧烷、聚有机硅氧烷、共聚物、或它们的组合)为100质量份0.0001~5质量份、或0.001~3质量份、或0.01~0.5质量份。
此外,本发明的薄膜形成用组合物中,可以添加流变调节剂和粘接辅助剂等。流变调节剂对于提高薄膜形成用组合物的流动性是有效的。粘接辅助剂对于提高半导体基板或抗蚀剂与薄膜的密合性是有效的。
作为本发明的薄膜形成用组合物中使用的溶剂,只要是可以溶解上述固体成分的溶剂,就可以没有特别限制地使用。作为这样的溶剂,可举出例如,甲醇、乙醇、丙醇、异丙醇、丁醇、甲基溶纤剂乙酸酯、乙基溶纤剂乙酸酯、丙二醇、丙二醇单甲醚、丙二醇单乙醚、丙二醇单丁醚、丙二醇单甲醚乙酸酯、丙二醇单乙基醚乙酸酯、丙二醇单丙醚乙酸酯、丙二醇单丁醚乙酸酯、甲苯、二甲苯、丁酮、环戊酮、环己酮、2-羟基丙酸乙酯、2-羟基-2-甲基丙酸乙酯、乙氧基乙酸乙酯、羟基乙酸乙酯、2-羟基-3-甲基丁酸甲酯、3-甲氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸乙酯、3-乙氧基丙酸甲酯、丙酮酸甲酯、丙酮酸乙酯、乙二醇单甲醚、乙二醇单乙醚、乙二醇单丙醚、乙二醇单丁醚、乙二醇单甲醚乙酸酯、乙二醇单乙醚乙酸酯、乙二醇单丙醚乙酸酯、乙二醇单丁醚乙酸酯、二甘醇二甲醚、二甘醇二乙醚、二甘醇二丙醚、二甘醇二丁醚、丙二醇单甲醚、丙二醇二甲醚、丙二醇二乙醚、丙二醇二丙醚、丙二醇二丁醚、乳酸乙酯、乳酸丙酯、乳酸异丙酯、乳酸丁酯、乳酸异丁酯、甲酸甲酯、甲酸乙酯、甲酸丙酯、甲酸异丙酯、甲酸丁酯、甲酸异丁酯、甲酸戊酯、甲酸异戊酯、乙酸甲酯、乙酸乙酯、乙酸戊酯、乙酸异戊酯、乙酸己酯、丙酸甲酯、丙酸乙酯、丙酸丙酯、丙酸异丙酯、丙酸丁酯、丙酸异丁酯、丁酸甲酯、丁酸乙酯、丁酸丙酯、丁酸异丙酯、丁酸丁酯、丁酸异丁酯、羟基乙酸乙酯、2-羟基-2-甲基丙酸乙酯、3-甲氧基-2-甲基丙酸甲酯、2-羟基-3-甲基丁酸甲酯、甲氧基乙酸乙酯、乙氧基乙酸乙酯、3-甲氧基丙酸甲酯、3-乙氧基丙酸乙酯、3-甲氧基丙酸乙酯、3-甲氧基丁基乙酸酯、3-甲氧基丙基乙酸酯、3-甲基-3-甲氧基丁基乙酸酯、3-甲基-3-甲氧基丁基丙酸酯、3-甲基-3-甲氧基丁基丁酸酯、乙酰乙酸甲酯、甲苯、二甲苯、丁酮、甲基丙基酮、甲基丁基酮、2-庚酮、3-庚酮、4-庚酮、环己酮、N,N-二甲基甲酰胺、N-甲基乙酰胺、N,N-二甲基乙酰胺、N-甲基吡咯烷酮、甲基异丁基甲醇、和γ-丁内酯等。
这些溶剂可以单独使用、或二种以上组合使用。
本发明的薄膜形成用组合物可以作为光致抗蚀剂等抗蚀剂下层膜形成用组合物、极紫外抗蚀剂等抗蚀剂下层膜形成用组合物、极紫外抗蚀剂 上层膜形成用组合物、图案反转材料形成用组合物、溶剂显影用抗蚀剂的下层膜形成用组合物等使用。
下面对使用本发明的薄膜形成用组合物作为抗蚀剂下层膜形成用组合物的情况予以说明。
在半导体装置的制造中所使用的基板(例如,硅晶片基板、硅/二氧化硅被覆基板、氮化硅基板、玻璃基板、ITO基板、聚酰亚胺基板和低介电常数材料(low-k材料)被覆基板等)上,通过旋涂器、涂布机等适当的涂布方法来涂布本发明的薄膜形成用组合物(抗蚀剂下层膜形成用组合物),然后进行烘焙,从而形成薄膜(抗蚀剂下层膜)。
作为烘焙条件,从烘焙温度80℃~500℃、或80℃~250℃、烘焙时间0.3~60分钟中适宜选择。优选烘焙温度150℃~500℃、烘焙时间0.5~2分钟。
这里,作为形成的薄膜(抗蚀剂下层膜)的膜厚,为例如10~1000nm,或20~500nm,或50~300nm,或100~200nm,或10~100nm。
接下来,在该薄膜(抗蚀剂下层膜)上形成例如光致抗蚀剂的层(膜)。光致抗蚀剂层的形成可以用周知的方法、即将光致抗蚀剂组合物溶液涂布在下层膜上并烘焙来进行。
作为光致抗蚀剂层的膜厚,为例如50~10000nm,或100~2000nm,或200~1000nm,或30~200nm。
本发明中可以在基板上成膜有机下层膜后,在其上成膜本发明的薄膜(抗蚀剂下层膜),然后在其上被覆光致抗蚀剂。由此光致抗蚀剂的图案宽度变窄,在为了防止图案倒塌而将光致抗蚀剂薄薄地被覆的情况下,也能够通过选择适当的蚀刻气体来进行基板的加工。
例如,能够使用相对于光致抗蚀剂具有充分快的蚀刻速度的氟系气体作为蚀刻气体,对本发明的薄膜(抗蚀剂下层膜)进行加工,此外能够将相对于本发明的薄膜(抗蚀剂下层膜)具有充分快的蚀刻速度的氧系气体作为蚀刻气体,进行有机下层膜的加工,此外还可以将相对于有机下层膜具有充分快的蚀刻速度的氟系气体作为蚀刻气体,进行基板的加工。
作为在本发明的薄膜(抗蚀剂下层膜)上形成的光致抗蚀剂,只要是对曝光中所使用的光感光的光致抗蚀剂,就没有特别限定。负型光致抗蚀剂和正型光致抗蚀剂都可以使用。有:由酚醛清漆树脂与1,2-萘醌重氮基磺酸酯构成的正型光致抗蚀剂、由具有通过酸而分解来使碱溶解速度上升的基团的粘合剂与光产酸剂构成的化学放大型光致抗蚀剂、由通过酸而分解来使光致抗蚀剂的碱溶解速度上升的低分子化合物与碱溶性粘合剂与光产酸剂构成的化学放大型光致抗蚀剂、和由具有通过酸而分解来使碱溶解速度上升的基团的粘合剂与通过酸而分解来使光致抗蚀剂的碱溶解速度上升的低分子化合物与光产酸剂构成的化学放大型光致抗蚀剂等。可举出例如,シプレー社制商品名APEX-E、住友化学工业(株)制商品名PAR710、和信越化学工业(株)制商品名SEPR430等。此外,可举出例如,Proc.SPIE,Vol.3999,330-334(2000)、Proc.SPIE,Vol.3999,357-364(2000)、Proc.SPIE,Vol.3999,365-374(2000)中所记载的那样的含氟原子聚合物系光致抗蚀剂。
接下来,通过规定的掩模来进行曝光。曝光时,可以使用KrF准分子激光(波长248nm)、ArF准分子激光(波长193nm)和F2准分子激光(波长157nm)等。曝光后,还可以根据需要进行曝光后加热(post exposure bake)。曝光后加热为在从加热温度70℃~150℃,加热时间0.3~10分钟中适宜选择的条件下进行。
而且,本发明中作为抗蚀剂,可以使用电子束光刻用抗蚀剂或极紫外抗蚀剂来代替光致抗蚀剂。作为电子束抗蚀剂,负型、正型都可以使用。有:由产酸剂和具有通过酸而分解来使碱溶解速度变化的基团的粘合剂构成的化学放大型抗蚀剂、由碱溶性粘合剂与产酸剂与通过酸而分解来使抗蚀剂的碱溶解速度变化的低分子化合物构成的化学放大型抗蚀剂、由产酸剂与具有通过酸而分解来使碱溶解速度变化的基团的粘合剂与通过酸而分解来使抗蚀剂的碱溶解速度变化的低分子化合物构成的化学放大型抗蚀剂、由具有通过电子束而分解来使碱溶解速度变化的基团的粘合剂构成的非化学放大型抗蚀剂、由具有通过电子束而被切断并使碱溶解速度变化 的部位的粘合剂构成的非化学放大型抗蚀剂等。使用这些电子束抗蚀剂时,也可以与以电子束作为照射源、使用光致抗蚀剂的情况同样形成抗蚀剂图案。
而且,作为极紫外抗蚀剂可以使用甲基丙烯酸酯树脂系抗蚀剂。
接着,通过显影液来进行显影。由此,在使用例如正型光致抗蚀剂的情况下,被曝光了的部分的光致抗蚀剂被除去,形成光致抗蚀剂的图案。
作为显影液,可举出氢氧化钾、氢氧化钠等碱金属氢氧化物的水溶液、氢氧化四甲基铵、氢氧化四乙基铵、胆碱等氢氧化季铵的水溶液、乙醇胺、丙胺、乙二胺等胺水溶液等碱性水溶液作为例子。此外,还可以在这些显影液中添加表面活性剂等。作为显影的条件,从温度5~50℃、时间10~600秒中适宜选择。
然后,以将这样形成的光致抗蚀剂膜(上层)的图案作为保护膜,来除去本发明的薄膜(抗蚀剂下层膜)(中间层)。接下来,以由图案化了的光致抗蚀剂和本发明的薄膜(抗蚀剂下层膜)(中间层)组成的膜作为保护膜,来进行有机下层膜(下层)的除去。最后以图案化了的本发明的薄膜(抗蚀剂下层膜)(中间层)和有机下层膜(下层)作为保护膜,来进行半导体基板的加工。
上述方法中先将被除去了光致抗蚀剂的部分的本发明的薄膜(抗蚀剂下层膜)(中间层)通过干蚀刻进行去除,使半导体基板露出。
在本发明的薄膜(抗蚀剂下层膜)的干蚀刻中,可以使用四氟甲烷(CF4)、全氟环丁烷(C4F8)、全氟丙烷(C3F8)、三氟甲烷、一氧化碳、氩气、氧气、氮气、六氟化硫、二氟甲烷、三氟化氮和三氟化氯、氯气、三氯化硼和二氯化硼等气体。
其中,在通过卤素系气体进行的干蚀刻时,基本上由有机物质形成的光致抗蚀剂不易被除去。与此相对,大量包含硅原子的本发明的薄膜(抗蚀剂下层膜)通过卤素系气体被迅速地除去,因而,抗蚀剂下层膜的干蚀刻优选使用卤系气体。由此可以抑制伴随薄膜(抗蚀剂下层膜)的干蚀刻而发生的光致抗蚀剂的膜厚的减少。而且,其结果是能够将光致抗蚀剂以薄膜的方式使用。
抗蚀剂下层膜的干蚀刻也可以通过氟系气体进行。作为氟系气体,可举出例如,四氟甲烷(CF4)、全氟环丁烷(C4F8)、全氟丙烷(C3F8)、三氟甲烷和二氟甲烷(CH2F2)等。
本发明的含钛-硅的薄膜(抗蚀剂下层膜)的干蚀刻优选利用卤系气体进行,作为卤系气体,可以列举出例如,溴化氢(HBr)、氯(Cl)等,通过与CF4、CHF3等氟系气体、或O2等氧系气体混合,能够得到与抗蚀剂的蚀刻选择比。
然后,以由图案化了的光致抗蚀剂和本发明的薄膜(抗蚀剂下层膜)组成的膜作为保护膜进行有机下层膜的除去。有机下层膜(下层)、上述有机防反射膜,优选利用氧系气体进行干蚀刻来除去。这是由于,含有大量硅原子的本发明的薄膜(抗蚀剂下层膜)难以用氧系气体通过干蚀刻除去的缘故。
最后对半导体基板进行加工。半导体基板的加工优选利用氟系气体通过干蚀刻进行。
作为氟系气体,可举出例如,四氟甲烷(CF4)、全氟环丁烷(C4F8)、全氟丙烷(C3F8)、三氟甲烷和二氟甲烷(CH2F2)等。
而且,在本发明的薄膜(抗蚀剂下层膜)上层上,可以在光致抗蚀剂层(膜)的形成前形成有机类的防反射膜。对这里使用的防反射膜组合物没有特殊限定,可以从迄今为止在光刻工艺中所惯用的防反射膜组合物之中任意地选择来使用,此外,可以通过惯用的方法,例如,利用旋涂器、涂布机进行的涂布和烘焙来进行防反射膜的形成。
本发明的半导体装置的制造方法,作为这种多层工艺,包含以下工序:在半导体基板上形成有机下层膜的工序,在有机下层膜上涂布本发明的薄膜形成用组合物(抗蚀剂下层膜形成用组合物)并烘焙而形成薄膜(抗蚀剂下层膜)的工序,在前述薄膜(抗蚀剂下层膜)上涂布有机抗蚀剂下层膜形成用组合物而形成有机抗蚀剂下层膜的工序,在前述有机抗蚀剂下层膜上涂布抗蚀剂用组合物而形成抗蚀剂膜的工序,使前述抗蚀剂膜曝光的工序,在曝光后使前述抗蚀剂膜显影而得到抗蚀剂图案的工序,通过前述抗蚀剂图 案对有机抗蚀剂下层膜进行蚀刻的工序,通过图案化了的有机抗蚀剂下层膜对本发明的薄膜(抗蚀剂下层膜)进行蚀刻的工序,通过图案化了的薄膜(抗蚀剂下层膜)对有机下层膜进行蚀刻的工序,以及,通过图案化了的有机下层膜对半导体基板进行加工的工序。
而且,待涂布本发明的薄膜形成用组合物(抗蚀剂下层膜形成用组合物)的基板,可以在其表面具有采用CVD法等形成的有机系或无机系的防反射膜,也可以在其上形成本发明的薄膜(抗蚀剂下层膜)。
由本发明的薄膜形成用组合物(抗蚀剂下层膜形成用组合物)形成的薄膜(抗蚀剂下层膜),此外,根据光刻工艺中所使用的光的波长,有时对该光具有吸收。而且,在这样的情况下,可以作为具有防止从基板反射光的效果的防反射膜起作用。
进而,本发明的薄膜(抗蚀剂下层膜)还能够作为用于防止基板与光致抗蚀剂的相互作用的层、具有防止光致抗蚀剂所使用的材料或光致抗蚀剂的曝光时所生成的物质对基板产生不良作用的功能的层、具有防止在加热烘焙时由基板生成的物质向上层光致抗蚀剂扩散的功能的层、和用于减少由半导体基板电介质层引起的光致抗蚀剂层的中毒效果的阻挡层等来使用。
而且,由本发明的薄膜形成用组合物(抗蚀剂下层膜形成用组合物)形成的薄膜(抗蚀剂下层膜)适用于双镶嵌工艺中使用的形成有通孔的基板,可以作为可以没有间隙地填充孔的埋入材使用。此外,还可以作为用于将具有凹凸的半导体基板的表面进行平坦化的平坦化材来使用。
下面,对使用本发明的薄膜形成用组合物作为极紫外抗蚀剂上层膜形成用组合物、或极紫外抗蚀剂下层膜形成用组合物的情况予以说明。
作为在本发明中的极紫外抗蚀剂上层膜的下层涂布的极紫外抗蚀剂,负型、正型都可以使用。有:由产酸剂和具有通过酸而分解来使碱溶解速度变化的基团的粘合剂构成的化学放大型抗蚀剂、由碱溶性粘合剂与产酸剂与通过酸而分解来使抗蚀剂的碱溶解速度变化的低分子化合物构成的化学放大型抗蚀剂、由产酸剂与具有通过酸而分解来使碱溶解速度变化的 基团的粘合剂与通过酸而分解来使抗蚀剂的碱溶解速度变化的低分子化合物构成的化学放大型抗蚀剂、由具有通过EUV而分解来使碱溶解速度变化的基团的粘合剂构成的非化学放大型抗蚀剂、由具有通过EUV而被切断并使碱溶解速度变化的部位的粘合剂构成的非化学放大型抗蚀剂等。
作为具有通过使用本发明的薄膜形成用组合物(极紫外抗蚀剂上层膜形成用组合物)而形成的薄膜(抗蚀剂上层膜)的正型抗蚀剂的显影液,可以使用氢氧化钠、氢氧化钾、碳酸钠、硅酸钠、偏硅酸钠、氨水等无机碱类、乙胺、正丙胺等伯胺类、二乙胺、二正丁胺等仲胺类、三乙胺、甲基二乙基胺等叔胺类、二甲基乙醇胺、三乙醇胺等醇胺类、四甲基氢氧化铵、四乙基氢氧化铵、胆碱等季铵盐、吡咯、哌啶等环状胺类、等碱类的水溶液。进而,也可以在上述碱类的水溶液中添加适量的异丙醇等醇类、非离子系等表面活性剂再使用。
在这些中优选的显影液是季铵盐、更优选是四甲基氢氧化铵和胆碱。
本发明的半导体装置的制造方法包含以下工序:在具有待形成转印图案的加工对象膜的基板上,使用或不使用极紫外抗蚀剂下层膜,就形成极紫外抗蚀剂膜的工序,在该抗蚀剂膜上涂布极紫外抗蚀剂上层膜形成用组合物并烘焙而形成极紫外抗蚀剂上层膜的工序,使被覆有该抗蚀剂上层膜和抗蚀剂膜的半导体基板曝光的工序,以及在曝光后进行显影,除去该抗蚀剂上层膜和抗蚀剂膜的工序。
曝光通过极紫外光(波长13.5nm)进行。
适合本发明的薄膜形成用组合物(极紫外抗蚀剂上层膜形成用组合物)的半导体装置,具有在基板上依次形成待转印图案的加工对象膜、抗蚀剂膜和薄膜(极紫外抗蚀剂上层膜)的结构。该薄膜(极紫外抗蚀剂上层膜),通过降低由基底基板、极紫外光造成的不良影响而能够形成直线形状良好的抗蚀剂图案,得到相对于充分的极紫外照射量的余白(margin)。而且,本发明的薄膜(极紫外抗蚀剂上层膜),具有与在下层形成的抗蚀剂膜同等大小的湿蚀刻速度,能够容易地通过湿蚀刻工序使作为加工对象的基底膜上转印上抗蚀剂图案。
而且,可以使用本发明的薄膜形成用组合物(极紫外抗蚀剂下层膜形成用组合物)作为极紫外抗蚀剂的下层膜,其不与极紫外抗蚀剂发生内混,在极紫外曝光之际能够防止不受欢迎的曝光用光例如上述UV、DUV从基板或界面反射,从而作为极紫外抗蚀剂的下层防反射膜使用。能够在极紫外抗蚀剂的下层有效防止反射。在作为极紫外抗蚀剂下层膜使用时,工序可以与光致抗蚀剂用下层膜同样进行。
而且,由本发明的薄膜形成用组合物形成的薄膜,可以作为图案反转材料使用。即是包含以下工序的半导体装置的制造方法:在基板上形成抗蚀剂图案的工序,在抗蚀剂图案上涂布本发明的薄膜形成用组合物并烘焙而形成薄膜的工序,对薄膜进行蚀刻而使抗蚀剂表面露出的工序,以及对抗蚀剂图案进行蚀刻使图案反转的工序。
而且,对使用本发明的薄膜形成用组合物作为溶剂显影用抗蚀剂的下层膜的方法予以说明。
在上述基板上通过旋转涂布机、涂布机等适当的涂布方法涂布本发明的薄膜形成用组合物,然后进行烘焙而形成薄膜。在被覆本发明的薄膜之前,在基板上形成有机下层膜,在有机下层膜上形成上述薄膜。
作为在本发明的薄膜(抗蚀剂下层膜)上形成的光致抗蚀剂,只要是对例如ArF光等曝光中使用的光感光即可,没有特殊限定。可以使用负型光致抗蚀剂和正型光致抗蚀剂中的任一者。只要是在曝光后能够通过有机溶剂进行显影的光致抗蚀剂,就可以使用。
作为烘焙条件,可以使用上述条件。
接下来,在该薄膜上形成例如上述光致抗蚀剂层(膜)。
本发明中作为抗蚀剂,可以使用极紫外光刻用抗蚀剂、电子束光刻用抗蚀剂来代替光致抗蚀剂。作为极紫外光刻用抗蚀剂、电子束光刻用抗蚀剂可以使用负型、正型中的任一种。作为曝光条件可以使用上述条件。只要是在曝光后能够通过有机溶剂进行显影的抗蚀剂,就可以使用。
接下来,通过显影液(有机溶剂)进行显影。通过这样,在使用例如正型光致抗蚀剂时,能够使未曝光部分的光致抗蚀剂除去,形成抗蚀剂图案。
作为显影液,举例可以列举出例如,乙酸甲酯、乙酸丁酯、乙酸乙酯、乙酸异丙酯、乙酸戊酯、乙酸异戊酯、甲氧基乙酸乙酯、乙氧基乙酸乙酯、2-庚酮、丙二醇单甲醚乙酸酯、乙二醇单乙醚乙酸酯、乙二醇单丙醚乙酸酯、乙二醇单丁醚乙酸酯、乙二醇单苯醚乙酸酯、二甘醇单甲醚乙酸酯、二甘醇单丙醚乙酸酯、二甘醇单乙醚乙酸酯、二甘醇单苯醚乙酸酯、二甘醇单丁醚乙酸酯、二甘醇单乙醚乙酸酯、2-甲氧基丁基乙酸酯、3-甲氧基丁基乙酸酯、4-甲氧基丁基乙酸酯、3-甲基-3-甲氧基丁基乙酸酯、3-乙基-3-甲氧基丁基乙酸酯、丙二醇单甲醚乙酸酯、丙二醇单乙醚乙酸酯、丙二醇单丙醚乙酸酯、2-乙氧基丁基乙酸酯、4-乙氧基丁基乙酸酯、4-丙氧基丁基乙酸酯、2-甲氧基戊基乙酸酯、3-甲氧基戊基乙酸酯、4-甲氧基戊基乙酸酯、2-甲基-3-甲氧基戊基乙酸酯、3-甲基-3-甲氧基戊基乙酸酯、3-甲基-4-甲氧基戊基乙酸酯、4-甲基-4-甲氧基戊基乙酸酯、丙二醇二乙酸酯、甲酸甲酯、甲酸乙酯、甲酸丁酯、甲酸丙酯、乳酸乙酯、乳酸丁酯、乳酸丙酯、碳酸乙酯、碳酸丙酯、碳酸丁酯、丙酮酸甲酯、丙酮酸乙酯、丙酮酸丙酯、丙酮酸丁酯、乙酰乙酸甲酯、乙酰乙酸乙酯、丙酸甲酯、丙酸乙酯、丙酸丙酯、丙酸异丙酯、2-羟基丙酸甲酯、2-羟基丙酸乙酯、3-甲氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸乙酯、3-甲氧基丙酸丙基酯等。而且,作为上述显影液,优选使用乙酸丁酯、2-庚酮等。
此外,还可以在这些显影液中添加表面活性剂等。作为显影的条件,从温度5~50℃、时间10~600秒中适宜选择。
而且,将这样所形成的光致抗蚀剂(上层)的图案作为保护膜而进行本发明的薄膜(中间层)的除去,接着将由被图案化了的光致抗蚀剂和本发明的薄膜(中间层)构成的膜作为保护膜,进行有机下层膜(下层)的除去。最后,将被图案化了的本发明的薄膜(中间层)和有机下层膜(下层)作为保护膜,进行半导体基板的加工。
首先,将除去了光致抗蚀剂的部分的本发明的薄膜(中间层)通过干蚀刻来除去,使半导体基板露出。
本发明的薄膜的干蚀刻时,可以使用四氟甲烷(CF4)、全氟环丁烷 (C4F8)、全氟丙烷(C3F8)、三氟甲烷、一氧化碳、氩气、氧气、氮气、六氟化硫、二氟甲烷、三氟化氮和三氟化氯、氯气、三氯化硼和二氯化硼等气体。
其中,在通过卤素系气体进行的干蚀刻时,基本上由有机物质形成的光致抗蚀剂不易被除去。与此相对,大量包含硅原子的本发明的薄膜通过卤素系气体被迅速地除去,因而,本发明的薄膜的干蚀刻中优选使用卤素系气体。由此可以抑制伴随薄膜的干蚀刻而发生的光致抗蚀剂的膜厚的减少。而且,其结果是能够将光致抗蚀剂以薄膜的方式使用。
本发明的薄膜的干蚀刻优选通过氟系气体进行,作为氟系气体,可举出例如,四氟甲烷(CF4)、全氟环丁烷(C4F8)、全氟丙烷(C3F8)、三氟甲烷和二氟甲烷(CH2F2)等。
然后,将由被图案化了的光致抗蚀剂和本发明的薄膜构成的膜作为保护膜来进行有机下层膜的除去。有机下层膜(下层)优选通过利用氧系气体进行的干蚀刻来进行。其原因是,大量包含硅原子的本发明的薄膜在通过氧系气体进行的干蚀刻中不易被除去。
最后,进行半导体基板的加工。半导体基板的加工优选通过利用氟系气体进行的干蚀刻来进行。
作为氟系气体,可举出例如,四氟甲烷(CF4)、全氟环丁烷(C4F8)、全氟丙烷(C3F8)、三氟甲烷和二氟甲烷(CH2F2)等。
此外,在本发明的薄膜的上层,在光致抗蚀剂的形成前可以形成有机系的防反射膜。作为这里所使用的防反射膜组合物,没有特别限制,可以从迄今为止在光刻工艺中所惯用的防反射膜组合物之中任意地选择来使用,此外,可以通过惯用的方法,例如,利用旋涂器、涂布机进行的涂布和烘烤来进行防反射膜的形成。
本发明,可以先在基板上形成有机下层膜,然后在该有机下层膜上形成本发明的薄膜,进而在本发明的薄膜上覆盖光致抗蚀剂层。通过这样,即使在光致抗蚀剂的图案宽变窄、为了防止图案倒塌而被覆薄薄的光致抗蚀剂层的情况,也能够通过选择合适的蚀刻气体进行基板的加工。
例如,可以采用对光致抗蚀剂具有充分快的蚀刻速度的氟系气体作为蚀刻气体对本发明的薄膜进行加工,此外可以采用对本发明的薄膜具有充分快的蚀刻速度的氧系气体作为蚀刻气体对有机下层膜进行加工,进而,可以采用对有机下层膜具有充分快的蚀刻速度的氟系气体作为蚀刻气体对基板进行加工。
实施例
合成例1
将四异丁氧基钛18.60g(70mol%)、甲基三乙氧基硅烷3.48g(25mol%)、3-(三乙氧基甲硅烷基丙基)二烯丙基异氰脲酸酯1.62g(5mol%)、丙酮35.55g、乙酰丙酮35.55g加入到300mL的烧瓶中,一边用电磁搅拌器对混合溶液进行搅拌,一边向混合溶液中滴加0.01mol/L的盐酸5.21g。添加后,将烧瓶转移到调整到85℃的油浴中,加热回流下反应240分钟。然后,将反应溶液冷到室温,向反应溶液中加入乙酰丙酮48.00g,减压蒸馏除去作为反应副生物的乙醇、丙酮、异丁醇、水、盐酸,浓缩后得到水解缩合物(聚合物)的乙酰丙酮溶液。以乙酰丙酮/丙二醇单乙醚为10/90的溶剂比率加入乙酰丙酮和丙二醇单乙醚,调整到以140℃的固体残留物换算、其为15质量%。所得到的聚合物相当于式(8-1),由GPC测得的重均分子量以聚苯乙烯换算为Mw700。
合成例2
将四异丁氧基钛11.59g(50mol%)、甲基三乙氧基硅烷4.49g(37mol%)、3-(三乙氧基甲硅烷基丙基)二烯丙基异氰脲酸酯0.85g(3mol%)、苯基三甲氧基硅烷1.35g(10mol%)、丙酮27.42g、乙酰丙酮27.42g加入到300mL的烧瓶中,一边用电磁搅拌器对混合溶液进行搅拌,一边向混合溶液中滴加0.01mol/L的盐酸4.30g。添加后,将烧瓶转移到调整到85℃的油浴中,加热回流下反应240分钟。然后,将反应溶液冷到室温,向反应溶液中加入乙酰丙酮36.00g,减压蒸馏除去作为反应副生物的乙醇、丙酮、异丁醇、水、盐酸,浓缩后得到水解缩合物(聚合物)的乙酰丙酮溶液。以乙酰丙酮/ 丙二醇单乙醚为10/90的溶剂比率加入乙酰丙酮和丙二醇单乙醚,调整到以140℃时的固体残留物换算、其为15质量%。所得到的聚合物相当于式(8-2),由GPC测得的重均分子量以聚苯乙烯换算为Mw900。
合成例3
将四异丙氧基钛17.85g(70mol%)、甲基三乙氧基硅烷3.20g(20mol%)、联苯-4-基三甲氧基硅烷2.40g(10mol%)、丙酮35.26g、乙酰丙酮35.26g加入到300mL的烧瓶中,一边用电磁搅拌器对混合溶液进行搅拌,一边向混合溶液中滴加0.01mol/L的盐酸5.98g。添加后,将烧瓶转移到调整到85℃的油浴中,加热回流下反应240分钟。然后,将反应溶液冷到室温,向反应溶液中加入乙酰丙酮48.00g,减压蒸馏除去作为反应副生物的甲醇和乙醇、丙酮、异丙醇、水、盐酸,浓缩后得到水解缩合物(聚合物)的乙酰丙酮溶液。以乙酰丙酮/丙二醇单乙醚为10/90的溶剂比率加入乙酰丙酮和丙二醇单乙醚,调整到以140℃时的固体残留物换算、其为15质量%。所得到的聚合物相当于式(8-3),由GPC测得的重均分子量以聚苯乙烯换算为Mw800。
合成例4
将四异丙氧基钛18.01g(70mol%)、甲基三乙氧基硅烷3.23g(20mol%)、1-萘基三甲氧基硅烷2.25g(10mol%)、丙酮35.24g、乙酰丙酮35.24g加入到300mL的烧瓶中,一边用电磁搅拌器对混合溶液进行搅拌,一边向混合溶液中滴加0.01mol/L的盐酸6.04g。添加后,将烧瓶转移到调整到85℃的油浴中,加热回流下反应240分钟。然后,将反应溶液冷到室温,向反应溶液中加入乙酰丙酮46.00g,减压蒸馏除去作为反应副生物的甲醇和乙醇、丙酮、异丙醇、水、盐酸,浓缩后得到水解缩合物(聚合物)的乙酰丙酮溶液。以乙酰丙酮/丙二醇单乙醚为10/90的溶剂比率加入乙酰丙酮和丙二醇单乙醚,调整到以140℃时的固体残留物换算、其为15质量%。所得到的聚合物相当于式(8-4),由GPC测得的重均分子量以聚苯乙烯换算为Mw800。
合成例5
将四异丙氧基钛17.63g(70mol%)、甲基三乙氧基硅烷3.16g(20mol%)、6-(甲氧基甲氧基)-2-(三甲氧基甲硅烷基)萘2.73g(10mol%)、丙酮35.28g、乙酰丙酮35.28g加入到300mL的烧瓶中,一边用电磁搅拌器对混合溶液进行搅拌,一边向混合溶液中滴加0.01mol/L的盐酸5.91g。添加后,将烧瓶转移到调整到85℃的油浴中,加热回流下反应240分钟。然后,将反应溶液冷到室温,向反应溶液中加入乙酰丙酮48.00g,减压蒸馏除去作为反应副生物的甲醇和乙醇、丙酮、异丙醇、水、盐酸,浓缩后得到水解缩合物(聚合物)的乙酰丙酮溶液。以乙酰丙酮/丙二醇单乙醚为10/90的溶剂比率加入乙酰丙酮和丙二醇单乙醚,调整到以140℃时的固体残留物换算、其为15质量%。所得到的聚合物相当于式(8-5),由GPC测得的重均分子量以聚苯乙烯换算为Mw800。
合成例6
将四异丁氧基钛18.59g(70mol%)、甲基三乙氧基硅烷3.44g(24.7mol%)、3-(三乙氧基甲硅烷基丙基)二烯丙基异氰脲酸酯1.61g(5mol%)、N-(3-三乙氧基甲硅烷基丙基)-4,5-二氢咪唑0.06g(0.3mol%)、丙酮35.55g、乙酰丙酮35.55g加入到300mL的烧瓶中,一边用电磁搅拌器对混合溶液进行搅拌,一边向混合溶液中滴加0.10mol/L的盐酸5.21g。添加后,将烧瓶转移到调整到85℃的油浴中,加热回流下反应240分钟。然后,将反应溶液冷到室温,向反应溶液中加入乙酰丙酮48.00g,减压蒸馏除去作为反应副生物的乙醇、丙酮、异丁醇、水、盐酸,浓缩后得到水解缩合物(聚合物)的乙酰丙酮溶液。以乙酰丙酮/丙二醇单乙醚为10/90的溶剂比率加入乙酰丙酮和丙二醇单乙醚,调整到以140℃时的固体残留物换算、其为15质量%。所得到的聚合物相当于式(8-6),由GPC测得的重均分子量以聚苯乙烯换算为Mw800。
合成例7
将四异丁氧基钛18.74g(70mol%)、甲基三乙氧基硅烷3.46g(24.7mol%)、3-(三乙氧基甲硅烷基丙基)二甲基异氰脲酸酯1.42g(5mol%)、N-(3-三乙氧基甲硅烷基丙基)-4,5-二氢咪唑0.065g(0.3mol%)、丙酮35.53g、乙 酰丙酮35.53g加入到300mL的烧瓶中,一边用电磁搅拌器对混合溶液进行搅拌,一边向混合溶液中滴加0.10mol/L的盐酸5.25g。添加后,将烧瓶转移到调整到85℃的油浴中,加热回流下反应240分钟。然后,将反应溶液冷到室温,向反应溶液中加入乙酰丙酮48.00g,减压蒸馏除去作为反应副生物的乙醇、丙酮、异丁醇、水、盐酸,浓缩后得到水解缩合物(聚合物)的乙酰丙酮溶液。以乙酰丙酮/丙二醇单乙醚为10/90的溶剂比率加入乙酰丙酮和丙二醇单乙醚,调整到以140℃时的固体残留物换算、其为15质量%。所得到的聚合物相当于式(8-7),由GPC测得的重均分子量以聚苯乙烯换算为Mw800。
合成例8
将四异丁氧基钛15.60g(60mol%)、四乙氧基硅烷1.91g(10mol%)、甲基三乙氧基硅烷4.08g(25mol%)、3-(三乙氧基甲硅烷基丙基)二烯丙基异氰脲酸酯1.89g(5mol%)、丙酮35.21g、乙酰丙酮35.21g加入到300mL的烧瓶中,一边用电磁搅拌器对混合溶液进行搅拌,一边向混合溶液中滴加0.01mol/L的盐酸6.10g。添加后,将烧瓶转移到调整到85℃的油浴中,加热回流下反应240分钟。然后,将反应溶液冷到室温,向反应溶液中加入乙酰丙酮48.00g,减压蒸馏除去作为反应副生物的乙醇、丙酮、异丁醇、水、盐酸,浓缩后得到水解缩合物(聚合物)的乙酰丙酮溶液。以乙酰丙酮/丙二醇单乙醚为10/90的溶剂比率加入乙酰丙酮和丙二醇单乙醚,调整到以140℃时的固体残留物换算、其为15质量%。所得到的聚合物相当于式(8-8),由GPC测得的重均分子量以聚苯乙烯换算为Mw1000。
合成例9
将四异丙氧基钛17.73g(50mol%)、甲基三乙氧基硅烷7.75g(45mol%)、3-(三乙氧基甲硅烷基丙基)二烯丙基异氰脲酸酯2.00g(5mol%)、丙酮35.22g、乙酰丙酮35.22g加入到300mL的烧瓶中,一边用电磁搅拌器对混合溶液进行搅拌,一边向混合溶液中滴加0.01mol/L的盐酸6.09g。添加后,将烧瓶转移到调整到85℃的油浴中,加热回流下反应240分钟。然后,将反应溶液冷到室温,向反应溶液中加入乙酰丙酮48.00g,减压蒸馏除去作 为反应副生物的乙醇、丙酮、异丙醇、水、盐酸,浓缩后得到水解缩合物(聚合物)的乙酰丙酮溶液。以乙酰丙酮/4-甲基2-戊醇为5/95的溶剂比率加入乙酰丙酮和4-甲基2-戊醇,调整到以140℃时的固体残留物换算、其为8质量%。所得到的聚合物式(8-1)由GPC测得的重均分子量以聚苯乙烯换算为Mw800。
合成例10
将四异丁氧基钛18.60g(70mol%)、甲基三乙氧基硅烷3.48g(25mol%)、3-(三乙氧基甲硅烷基丙基)二烯丙基异氰脲酸酯1.62g(5mol%)、丙酮35.55g、乙酰丙酮35.55g加入到300mL的烧瓶中,一边用电磁搅拌器对混合溶液进行搅拌,一边向混合溶液中滴加0.01mol/L的盐酸5.21g。添加后,将烧瓶转移到调整到85℃的油浴中,加热回流下反应240分钟。然后,将反应溶液冷到室温,向反应溶液中加入乙酰丙酮48.00g,减压蒸馏除去作为反应副生物的乙醇、丙酮、异丁醇、水、盐酸,浓缩后得到水解缩合物(聚合物)的乙酰丙酮溶液。以乙酰丙酮/4-甲基2-戊醇为5/95的溶剂比率加入乙酰丙酮和4-甲基2-戊醇,调整到以140℃时的固体残留物换算、其为8质量%。所得到的聚合物式(8-1)由GPC测得的重均分子量以聚苯乙烯换算为Mw700。
比较合成例1
将四乙氧基硅烷16.70g(70mol%)、甲基三乙氧基硅烷5.14g(25mol%)、苯基三甲氧基硅烷1.14g(5mol%)、丙酮69.24g加入到300mL的烧瓶中,一边用电磁搅拌器对混合溶液进行搅拌,一边向混合溶液中滴加0.01mol/L的盐酸7.68g。添加后,将烧瓶转移到调整到85℃的油浴中,加热回流下反应240分钟。然后,将反应溶液冷到室温,向反应溶液中加入丙二醇单乙醚46.00g,减压蒸馏除去作为反应副生物的甲醇和乙醇、丙酮、水、盐酸,浓缩后得到水解缩合物(聚合物)的丙二醇单乙醚溶液。以乙酰丙酮/丙二醇单乙醚为10/90的溶剂比率加入乙酰丙酮和丙二醇单乙醚,调整到以140℃时的固体残留物换算、其为15质量%。所得到的聚合物相当于式(9-1),由GPC测得的重均分子量以聚苯乙烯换算为Mw1200。
比较合成例2
将四异丁氧基钛19.25g(70mol%)、甲基三乙氧基硅烷3.60g(25mol%)、苯基三甲氧基硅烷0.80g(5mol%)、丙酮35.48g、乙酰丙酮35.48g加入到300mL的烧瓶中,一边用电磁搅拌器对混合溶液进行搅拌,一边向混合溶液中滴加0.01mol/L的盐酸5.39g。添加后,将烧瓶转移到调整到85℃的油浴中,加热回流下反应240分钟。然后,将反应溶液冷到室温,向反应溶液中加入乙酰丙酮48.00g,减压蒸馏除去作为反应副生物的甲醇和乙醇、丙酮、异丁醇、水、盐酸,浓缩后得到水解缩合物(聚合物)的乙酰丙酮溶液。以乙酰丙酮/丙二醇单乙醚为10/90的溶剂比率加入乙酰丙酮和丙二醇单乙醚,调整到以140℃时的固体残留物换算、其为15质量%。所得到的聚合物式(9-2)由GPC测得的重均分子量以聚苯乙烯换算为Mw1000。
下面示出了使用由本发明的薄膜形成用组合物得到的薄膜作为抗蚀剂下层膜时的评价结果。
[薄膜(抗蚀剂下层膜)的配制]
将上述合成例1~10以及比较合成例1和2中得到的含钛-硅的聚合物分别与酸、固化催化剂、添加剂、溶剂、水按照表1比例进行混合,用0.1μm的氟树脂制的过滤器过滤,配制出薄膜形成用组合物(抗蚀剂下层膜形成用组合物)的溶液。表1中的聚合物的添加比例不是聚合物溶液的添加量,而是聚合物本身的添加量。
表1中将马来酸简写成MA、将苄基三乙基氯化铵简写成BTAC、将 N-(3-三乙氧基甲硅烷基丙基)-4,5-二氢咪唑简写成IMIDTEOS、将三苯基锍三氟甲烷磺酸盐简写成TPS105、将马来酸单三苯基锍简写成TPSMA、将丙二醇单甲醚乙酸酯简写成PGMEA、将丙二醇单乙醚简写成PGEE、丙二醇单甲醚简写成PGME、将乙酰丙酮简写成AcAc、将4-甲基2-戊醇(即、甲基异丁基甲醇)简写成MIBC。水使用超纯水。各添加量以质量份表示。
表1
表1续
(有机下层膜(A层)的配制)
在氮气下向100mL四口烧瓶中加入咔唑(6.69g、0.040mol、东京化成工业(株)制)、9-芴酮(7.28g、0.040mol、东京化成工业(株)制)、对甲苯磺酸一水和物(0.76g、0.0040mol、东京化成工业(株)制),加入1,4-二烷(6.69g、关东化学(株)制)并搅拌,升温到100℃使它们溶解并开始聚合。24小时后放置冷却到60℃,然后加入氯仿(34g、关东化学(株)制)稀释,在甲醇(168g、关东化学(株)制)中再沉淀。将所得到的沉淀物过滤,用减压干燥机80℃干燥24小时,得到目标聚合物(式(10-1)、以下简写成“PCzFL”)9.37g。
PCzFL的1H-NMR的测定结果如下。
1H-NMR(400MHz,DMSO-d6):δ7.03-7.55(br,12H),δ7.61-8.10(br,4H),δ11.18(br,1H)
PCzFL的由GPC测得的聚苯乙烯换算得到的重均分子量Mw是2800、多分散度Mw/Mn是1.77。
在所得到的聚合物[式(10-1)]20g中混合作为交联剂的四甲氧基甲基甘脲(三井サイテック(株)制、商品名パウダーリンク1174)3.0g、作为催化剂的对甲苯磺酸吡啶盐0.30g、和作为表面活性剂的メガファックR-30(大日本インキ化学(株)制、商品名)0.06g,将它们溶解在丙二醇单甲醚乙酸酯88g中形成溶液。然后,使用孔径0.10μm的聚乙烯制精密过滤器(microfilter)过滤,进而使用孔径0.05μm的聚乙烯制精密过滤器过滤,配制出多层膜的光刻工艺中使用的有机下层膜形成用组合物的溶液。
(有机抗蚀剂下层膜(C层)的配制)
将单烯丙基二缩水甘油基异氰脲酸(四国化成工业(株)制)100g、5,5-二乙基巴比妥酸66.4g溶解在丙二醇单甲醚682g中,然后将反应液加热到140℃,同时向反应液中通入氮气。然后,添加作为催化剂的苄基三乙基氯化铵4.1g,在氮气环境下搅拌24小时。对所得到的反应生成物进行GPC分析,以标准聚苯乙烯换算的重均分子量为6800。
再者,可以推断,经本合成得到的反应生成物含有具有式(11-1)所表示的结构单元的化合物。
向上述得到的含有聚合物[式(11-1)]2g的溶液10g中加入四甲氧基甲基甘脲(三井サイテック(株)制、商品名パウダーリンク1174)0.5g、对甲苯磺酸吡啶盐0.05g、丙二醇单甲醚23g、和乳酸乙酯31g,形成溶液。然后,使用孔径0.10μm的聚乙烯制精密过滤器进行过滤,进而使用孔径0.02μm的聚乙烯制精密过滤器过滤,就配制出有机抗蚀剂下层膜形成用组合物的溶液。
(光学常数测定)
将实施例1~15、实施例18~19、比较例1和2中配制出的薄膜形成用组合物(抗蚀剂下层膜形成用组合物)分别使用旋转涂布机涂布到硅晶片上。在电热板上240℃加热1分钟,形成薄膜(抗蚀剂下层膜)(膜厚0.05μm)。然后,使用光谱椭偏仪(J.A.Woollam社制、VUV-VASE VU-302)测定这些薄膜(抗蚀剂下层膜)波长193、200、248nm下的折射率(n值)和光学吸光系数(也称作k值、衰减系数)。
(干蚀刻速度的测定)
干蚀刻速度的测定中使用的蚀刻器和蚀刻气体如下。
RIE-10NR(サムコ制):O2
使用旋转涂布机将实施例1~15、实施例18~19、比较例1和2中配制出的薄膜形成用组合物(抗蚀剂下层膜形成用组合物)的溶液涂布到硅晶片上。在电热板上240℃加热1分钟,分别形成薄膜(抗蚀剂下层膜)0.05μm。
而且,同样使用旋转涂布机将有机下层膜形成用组合物涂布到硅晶片上形成涂膜(膜厚0.20μm)。使用O2气体作为蚀刻气体测定干蚀刻速度,对实施例1~15、比较例1和2的薄膜(抗蚀剂下层膜)的干蚀刻速度进行比较。
(图案晶片蚀刻评价)
将上述得到的有机下层膜(A层)形成用组合物涂布到硅晶片上,在电热板上240℃烘焙60秒钟,从而得到膜厚200nm的有机下层膜(A层)。在有机下层膜(A层)上涂布实施例1~15、实施例18~19、比较例1和2中得到的薄膜形成用组合物[抗蚀剂下层膜(B)形成用组合物],在电热板上 240℃烘焙45秒钟而得到薄膜[抗蚀剂下层膜(B层)]。薄膜[抗蚀剂下层膜(B层)]的膜厚是25nm。
在各薄膜[抗蚀剂下层膜(B层)]上分别通过旋转涂布机涂布市售的光致抗蚀剂溶液(住友化学(株)制、商品名PAR855),在电热板上100℃烘焙60秒钟,而形成膜厚120nm的光致抗蚀剂膜(D层)。抗蚀剂的构图是使用NIKON社制ArF曝光机S-307E(波长193nm、NA、σ:0.85、0.93/0.85(双极,Dipole)浸液:水)进行的。将目标通过设定成显影后能够形成光致抗蚀剂的线宽和其线间的宽为0.065μm的所谓线和间隙(致密线)的掩模进行曝光。
然后,在电热板上105℃烘焙60秒钟,冷却后、经60秒单桨式工序用2.38质量%浓度的四甲基氢氧化铵水溶液(显影液)显影。将形成了图案的晶片用下述蚀刻气体进行蚀刻,观察蚀刻后的本发明的薄膜形状(抗蚀剂下层膜形状)。本发明的薄膜(抗蚀剂下层膜)蚀刻中的蚀刻,是在确认使用CF4气体以下述条件将本发明的薄膜(抗蚀剂下层膜)蚀刻后,用O2/N2气体对有机抗蚀剂下层膜进行蚀刻。
RIE-10NR(サムコ制)
CF4:50sccm/Ar:200sccm、15Pa、200W
O2:10sccm/N2:20sccm、1Pa、300W、110秒
表2中示出了193nm波长下的折射率n1、光学吸收系数k1,220nm的波长下的折射率n2、光学吸收系数k2,以及248nm波长下的折射率n3、光学吸收系数k3、蚀刻后的图案形状。
表2
图1示出了实施例1的蚀刻后的形状的电镜照片。而且,图2示出了比较例1的蚀刻后的形状的电镜照片。
上述蚀刻后的图案形状中的“落肩(变圆)”,是指在向有机下层膜转印图案之际,经氧系蚀刻造成图案落肩、变圆的现象。越是矩形,就是能够越好地转印图案。
(蚀刻速度的测定)
使用旋转涂布机将实施例1~19、比较例1和2中配制出的薄膜形成用组合物(抗蚀剂下层膜形成用组合物)的溶液涂布到硅晶片上。在电热板上240℃加热1分钟,分别形成薄膜(抗蚀剂下层膜)0.05μm。此外使用旋转涂布机将有机下层膜形成用组合物涂布到硅晶片上形成有机下层膜(膜厚0.20μm)。而且,同样使用旋转涂布机将抗蚀剂涂布到硅晶片上。在电热板上100℃加热1分钟,形成抗蚀剂膜0.10μm。
使用2300Versys蚀刻装置和Kiyo45室(ラムリサーチ制、商品名),使用HBr/Cl2/CF4/O2系气体作为卤系蚀刻气体,对实施例1~19、比较例1和2的薄膜(抗蚀剂下层膜)的干蚀刻速度进行比较。使用RIE-10NR(サムコ制)、使用O2气体作为蚀刻气体测定干蚀刻速度,对实施例1~19、比较例1和2的薄膜(抗蚀剂下层膜)的干蚀刻速度进行比较。
上述卤系气体的蚀刻速度比(1)是用(薄膜(抗蚀剂下层膜(B层)))/(抗蚀剂膜(D层))算出的。
氧系气体(O2气体)耐性以(薄膜(抗蚀剂下层膜(B层)))/(有机下层膜(A层))的蚀刻速度比(2)表示。
表3
本发明中使用的薄膜(抗蚀剂下层膜),与比较例的抗蚀剂下层膜相比,在将抗蚀剂图案转印给本发明的薄膜(抗蚀剂下层膜)时的蚀刻速度(速度比1)高,能够准确转印。
〔4层重叠的抗蚀剂图案的形成〕
作为4层工艺评价,将上述式(10-1)中得到的有机下层膜(A层)形成用组合物涂布到硅晶片上,在电热板上400℃烘焙60秒钟,而得到膜厚200nm的有机下层膜(A层)。在有机下层膜(A层)上涂布实施例1~15、实施例18~19、比较例2中得到的薄膜形成用组合物[抗蚀剂下层膜(B层)形成用组合物],在电热板上240℃烘焙45秒钟而得到本发明的薄膜[抗蚀剂下层膜(B层)]。本发明的薄膜[抗蚀剂下层膜(B层)]的膜厚是25nm。在薄膜[抗蚀剂下层膜(B层)]上涂布上述式(11-1)中得到的有机抗蚀剂下层膜(C层)形成用组合物,在电热板上205℃烘焙60秒钟,而得到膜厚25nm的有机抗蚀剂下层膜(C层)。在有机抗蚀剂下层膜(C层)上通过旋转涂布机涂布市售的光致抗蚀剂溶液(住友化学(株)制、商品名PAR855),在电热板上100℃烘焙60秒钟而形成膜厚120nm的光致抗蚀剂膜(D层)。
抗蚀剂的构图使用NIKON社制ArF曝光机S-307E(波长193nm、NA、σ:0.85、0.93/0.85(双极,Dipole)浸液:水)进行。通过以在显影后能够形成光致抗蚀剂的线宽和该线间的宽为0.065μm的所谓线和间隙(致密线)的方式设定的掩模对目标进行曝光。然后,在电热板上105℃下烘焙60秒钟,冷却后通过60秒单桨式工序用2.38质量%浓度的四甲基氢氧化铵水溶液(显影液)进行显影。
表4
〔经极紫外曝光形成抗蚀剂图案〕
将上述式(10-1)中得到的有机下层膜(A层)形成用组合物涂布到硅晶片上,在电热板上240℃烘焙60秒钟,从而得到膜厚90nm的有机下层膜(A层)。在有机下层膜上旋转涂布本发明的实施例1~15、实施例18~19、和比较例2中配制的薄膜形成用组合物(抗蚀剂下层膜形成用组合物)溶液,在240℃加热1分钟,从而形成薄膜[抗蚀剂下层膜(B层)](25nm)。在该硬掩模上旋转涂布极紫外用抗蚀剂溶液(甲基丙烯酸酯树脂系抗蚀剂),进行加热而形成极紫外抗蚀剂层(D)层,使用极紫外曝光装置(Micro Exposure Tool,简称MET)以NA=0.30、σ=0.36/0.68Quadropole的条件进行曝光。曝光后进行PEB,在冷却板上冷却到室温,进行显影和淋洗处理而形成抗蚀剂图案。评价能不能形成26nm的线和间隙,并通过观察图案截面来评 价图案形状。
表5
在极紫外曝光中如果作为13.5nm带域外的光产生160~240nm附近的光,则存在由于抗蚀剂感光而使图案模糊的问题。为了解决这些问题,对上层的能够吸收带域外波长的膜进行了研究。于是,可以认为,由于本发明中在抗蚀剂下层存在的抗蚀剂下层膜能够在较宽的波长区域显示吸收,所以能够容易地控制抗蚀剂界面上的带域外波长的反射。
(光学常数测定)
使用旋转涂布机分别将实施例16~17中配制出的本发明的薄膜形成用组合物涂布到硅晶片上。在电热板上240℃加热1分钟,形成本发明的薄膜(抗蚀剂上层膜)(膜厚0.05μm)。然后,使用光谱椭偏仪(J.A.Woollam社制,VUV-VASE VU-302),对这些薄膜测定波长193、200、248nm下 的折射率(n值)和光学吸光系数(k值、也称作“衰减系数”)。
表6
(与抗蚀剂掺合试验)
在将由本发明的薄膜形成用组合物形成的薄膜用作极紫外抗蚀剂上层膜(极紫外带域外放射吸收膜)或图案反转材料时,由于是将其涂布在抗蚀剂上层,所以必须不发生与抗蚀剂的掺合。
通过旋转涂布机将市售的光致抗蚀剂溶液(住友化学(株)制、商品名PAR855)涂布到硅晶片上,在电热板上100℃烘焙60秒钟,就形成了膜厚0.10μm的光致抗蚀剂膜。使用旋转涂布机分别将实施例16~17、比较例1中配制出的薄膜形成用组合物的溶液涂布到抗蚀剂上。在电热板上100℃加热1分钟,就分别形成了薄膜形成用组合物的薄膜0.10μm。将抗蚀剂溶解在涂布组合物中而发生掺合了的情况看作“不良”,将能够在涂布组合物中不溶解地进行涂布的情况看作“良好”。
因此,由本发明的薄膜形成用组合物形成的薄膜适合用作极紫外抗蚀剂上层膜(极紫外带域外放射吸收膜)和图案反转材料。
表7
(显影液除去性试验)
在将由本发明的薄膜形成用组合物形成的薄膜用作极紫外抗蚀剂上层膜(极紫外带域外放射吸收膜)时,在曝光后需要将该膜用显影液除去。 因此,需要能够在显影液中溶解。通过用旋转涂布机分别将实施例16~17、比较例1中配制出的薄膜形成用组合物(极紫外抗蚀剂上层膜形成用组合物)的溶液涂布到硅晶片上,在电热板上100℃下烘焙60秒钟,就形成了膜厚100nm的极紫外抗蚀剂上层膜。然后,涂布2.38质量%浓度的四甲基氢氧化铵水溶液,保持1分钟。然后,通过高速旋转将显影液甩掉,将极紫外抗蚀剂上层膜被除去了的情况看作“除去性良好”,将没有被除去的情况、或出现溶解残留的情况看作“除去性不良”。
表8
因此,由本发明的薄膜形成用组合物形成的薄膜适合用作极紫外抗蚀剂上层膜(极紫外带域外放射吸收膜)。
(溶剂显影工艺用抗蚀剂构图评价)
将上述有机下层膜形成用组合物[含有具有上述式(10-1)所表示的单元结构的聚合物的组合物]涂布到硅晶片上,在电热板上215℃加热1分钟,形成膜厚200nm的有机下层膜(A层)。
将实施例1~实施例15、实施例18~实施例19、比较例1和2的薄膜形成用组合物(抗蚀剂下层膜形成用组合物)分别涂布在有机下层膜(A层)上,在电热板上240℃加热1分钟,就形成了膜厚20nm的薄膜[抗蚀剂下层膜(B层)]。分别在该薄膜上通过旋转涂布机涂布市售的光致抗蚀剂溶液(富士フイルム(株)制、商品名FAiRS-9521NT05),在电热板上100℃加热1分钟,就形成了膜厚85nm的光致抗蚀剂膜(C层)。
接下来,使用(株)ニコン制NSR-S307E扫描仪(波长193nm、NA、σ:0.85、0.93/0.85),通过掩模进行曝光,所述掩模被设定成在显影后能够形成光致抗蚀剂的线宽和线间宽为0.065μm、即0.065μm的线和间隙(L/S) =1/1的致密线。然后,在电热板上100℃烘焙60秒钟,冷却后使用乙酸丁酯(溶剂显影液)显影60秒,就在薄膜[抗蚀剂下层膜(B层)]上形成了负型图案。
针对所得到的光致抗蚀剂图案,将没发生大的图案剥离和底部变细(undercut)的评为“良好”。
表9
因此,由本发明的薄膜形成用组合物形成的薄膜适合用作溶剂显影用抗蚀剂的下层膜。
产业可利用性
本发明的薄膜形成用组合物可以作为光致抗蚀剂等抗蚀剂的下层膜形成用组合物、极紫外抗蚀剂等抗蚀剂的下层膜形成用组合物、极紫外抗 蚀剂上层膜形成用组合物、图案反转材料形成组合物、溶剂显影用抗蚀剂的下层膜形成用组合物等使用。

Claims (15)

1.一种在光刻工序中与抗蚀剂一起使用的薄膜形成用组合物,是含有以下混合物、该混合物的水解物、或该混合物的水解缩合物的组合物,所述混合物是钛化合物(A)和硅化合物(B)的混合物,
所述钛化合物(A)选自下述式(1)所表示的化合物、水解性钛络合物、和水解性钛二聚体,
R0 aTi(R1)(4-a) 式(1)
式(1)中,R0表示烷基、芳基、卤代烷基、卤代芳基、脂肪族烯基,或具有环氧基、丙烯酰基、甲基丙烯酰基、巯基、氨基、或氰基的有机基团,其通过Ti-C键与钛原子键合,R1表示烷氧基、酰氧基或卤原子,a表示整数0~2;
所述硅化合物(B)以下述式(2)表示,
R2 a’R3 bSi(R4)4-(a’+b) 式(2)
式(2)中,R2表示:
式(4)所示的有机基团、
咪唑基或含有咪唑基的有机基团、
芳香族稠环基或含有它的有机基团、
烷氧基烷基取代芳基或含有它的有机基团、烷氧基烷氧基烷基取代芳基或含有它的有机基团、或者
联芳基或含有它的有机基团,
R2通过Si-C键与硅原子键合,
R3表示烷基、芳基、卤代烷基、卤代芳基、脂肪族烯基,或具有环氧基、丙烯酰基、甲基丙烯酰基、巯基、氨基、或氰基的有机基团,其通过Si-C键或Si-N键与硅原子键合,R4表示烷氧基、酰氧基、或卤原子,a’表示整数1,b表示整数0或1,(a’+b)表示整数1或2,
相对于该组合物中的以Ti原子和Si原子换算的总计摩尔数,Ti原子的摩尔数为50%~90%,
式(4)中,R7表示氢原子、或碳原子数1~10的烷基、脂肪族烯基、环氧基、磺酰基、或含有它们的有机基团,R8表示碳原子数1~10的亚烷基、羟基亚烷基、硫醚键、醚键、酯键、或它们的组合,X1表示式(5)、式(6)、或式(7)所示的基团,
在式(5)、式(6)和式(7)中,R9~R13分别独立地表示氢原子、或碳原子数1~10的烷基、脂肪族烯基、环氧基、磺酰基、或含有它们的有机基团。
2.如权利要求1所述的薄膜形成用组合物,所述薄膜形成用组合物含有以下混合物、该混合物的水解物、或该混合物的水解缩合物,所述混合物是选自上述式(1)所表示的化合物、水解性钛络合物和水解性钛二聚体中的钛化合物(A)、上述式(2)所表示的硅化合物(B)和下述式(3)所表示的硅化合物(C)的混合物,
R5 a”Si(R6)4-a” 式(3)
式(3)中,R5表示烷基、芳基、卤代烷基、卤代芳基、脂肪族烯基,或具有环氧基、丙烯酰基、甲基丙烯酰基、巯基、氨基、磺酰基、硫醚键、醚键、酯键、磺酰胺基、或氰基的有机基团,其通过Si-C键与硅原子键合,R6表示烷氧基、酰氧基或卤原子,a”表示整数0~3。
3.如权利要求1或2所述的薄膜形成用组合物,所述芳香族稠环基或含有它的有机基团为萘基或蒽基。
4.如权利要求1或2所述的薄膜形成用组合物,所述联芳基或含有它的有机基团为联苯基。
5.如权利要求1或2所述的薄膜形成用组合物,还含有β-二酮和/或β-酮酯。
6.如权利要求1或2所述的薄膜形成用组合物,还含有酸。
7.如权利要求1或2所述的薄膜形成用组合物,还含有盐。
8.如权利要求1或2所述的薄膜形成用组合物,还含有水。
9.一种薄膜,通过在半导体基板上涂布权利要求1~8的任一项所述的薄膜形成用组合物,并进行烘焙,从而得到。
10.一种半导体装置的制造方法,包含以下工序:
在半导体基板上涂布权利要求1~8的任一项所述的薄膜形成用组合物,并进行烘焙,而形成薄膜的工序;
在该薄膜上涂布抗蚀剂用组合物,而形成抗蚀剂膜的工序;
使该抗蚀剂膜曝光的工序;
在曝光后使该抗蚀剂膜显影,而得到抗蚀剂图案的工序;
通过该抗蚀剂图案对薄膜进行蚀刻的工序;以及
通过图案化了的抗蚀剂膜和薄膜对半导体基板进行加工的工序。
11.一种半导体装置的制造方法,包含以下工序:
在半导体基板上形成有机下层膜的工序;
在该有机下层膜上涂布权利要求1~8的任一项所述的薄膜形成用组合物,并进行烘焙,而形成薄膜的工序;
在该薄膜上涂布抗蚀剂用组合物,而形成抗蚀剂膜的工序;
使该抗蚀剂膜曝光的工序;
在曝光后使该抗蚀剂膜显影,而得到抗蚀剂图案的工序;
通过该抗蚀剂图案对薄膜进行蚀刻的工序;
通过图案化了的薄膜对有机下层膜进行蚀刻的工序;以及
通过图案化了的有机下层膜对半导体基板进行加工的工序。
12.一种半导体装置的制造方法,包含以下工序:
在半导体基板上形成有机下层膜的工序;
在该有机下层膜上涂布权利要求1~8的任一项所述的薄膜形成用组合物,并进行烘焙,而形成薄膜的工序;
在该薄膜上涂布有机抗蚀剂下层膜形成用组合物,而形成有机抗蚀剂下层膜的工序;
在该有机抗蚀剂下层膜上涂布抗蚀剂用组合物,而形成抗蚀剂膜的工序;
使该抗蚀剂膜曝光的工序;
在曝光后使该抗蚀剂膜显影,而得到抗蚀剂图案的工序;
通过该抗蚀剂图案对有机抗蚀剂下层膜进行蚀刻的工序;
通过图案化了的有机抗蚀剂下层膜对薄膜进行蚀刻的工序;
通过图案化了的薄膜对有机下层膜进行蚀刻的工序;以及
通过图案化了的有机下层膜对半导体基板进行加工的工序。
13.一种半导体装置的制造方法,包含以下工序:
在基板上形成抗蚀剂图案的工序;
在抗蚀剂图案上涂布权利要求1~8的任一项所述的薄膜形成用组合物,并进行烘焙,而形成薄膜的工序;
对该薄膜进行蚀刻,而使抗蚀剂表面露出的工序;以及
对抗蚀剂图案进行蚀刻,而使图案反转的工序。
14.如权利要求10~13的任一项所述的制造方法,抗蚀剂为极紫外抗蚀剂。
15.一种半导体装置的制造方法,包含以下工序:
在半导体基板上涂布极紫外抗蚀剂用组合物,并进行烘焙,而形成极紫外抗蚀剂膜的工序;
在该极紫外抗蚀剂膜上涂布权利要求1~8的任一项所述的薄膜形成用组合物而形成薄膜的工序;
用极紫外曝光的工序;
在曝光后将极紫外抗蚀剂显影,而得到抗蚀剂图案的工序;以及
通过抗蚀剂图案对半导体基板进行加工的工序。
CN201280035516.9A 2011-07-20 2012-07-20 含钛和硅的光刻用薄膜形成用组合物 Active CN103718111B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2011159138 2011-07-20
JP2011-159138 2011-07-20
JP2012-090429 2012-04-11
JP2012090429 2012-04-11
PCT/JP2012/068479 WO2013012068A1 (ja) 2011-07-20 2012-07-20 チタン及びシリコン含有リソグラフィー用薄膜形成組成物

Publications (2)

Publication Number Publication Date
CN103718111A CN103718111A (zh) 2014-04-09
CN103718111B true CN103718111B (zh) 2017-06-23

Family

ID=47558243

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280035516.9A Active CN103718111B (zh) 2011-07-20 2012-07-20 含钛和硅的光刻用薄膜形成用组合物

Country Status (7)

Country Link
US (1) US9093279B2 (zh)
EP (1) EP2735904A4 (zh)
JP (1) JP5999372B2 (zh)
KR (1) KR101964072B1 (zh)
CN (1) CN103718111B (zh)
TW (1) TWI547764B (zh)
WO (1) WO2013012068A1 (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5970933B2 (ja) * 2011-09-15 2016-08-17 Jsr株式会社 パターン形成方法
JP6105490B2 (ja) * 2012-01-27 2017-03-29 旭化成株式会社 ドライエッチング用熱反応型レジスト材料、及びモールドの製造方法
US9627217B2 (en) * 2012-04-23 2017-04-18 Nissan Chemical Industries, Ltd. Silicon-containing EUV resist underlayer film-forming composition including additive
JP5830044B2 (ja) * 2013-02-15 2015-12-09 信越化学工業株式会社 レジスト下層膜形成用組成物及びパターン形成方法
US8759220B1 (en) * 2013-02-28 2014-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process
JP5830048B2 (ja) * 2013-03-15 2015-12-09 信越化学工業株式会社 チタン含有レジスト下層膜形成用組成物及びパターン形成方法
JP6189758B2 (ja) * 2013-03-15 2017-08-30 信越化学工業株式会社 チタン含有レジスト下層膜形成用組成物及びパターン形成方法
US9296879B2 (en) 2013-09-03 2016-03-29 Rohm And Haas Electronic Materials Llc Hardmask
WO2015037398A1 (ja) * 2013-09-11 2015-03-19 Jsr株式会社 多層レジストプロセス用無機膜形成組成物及びパターン形成方法
JP6587065B2 (ja) 2014-02-26 2019-10-09 日産化学株式会社 レジストパターンに塗布されるポリマー含有塗布液
JP6413333B2 (ja) * 2014-05-13 2018-10-31 Jsr株式会社 パターン形成方法
WO2016009939A1 (ja) * 2014-07-15 2016-01-21 日産化学工業株式会社 ハロゲン化スルホニルアルキル基を有するシリコン含有レジスト下層膜形成組成物
KR102439080B1 (ko) * 2014-07-24 2022-09-01 닛산 가가쿠 가부시키가이샤 레지스트 상층막 형성 조성물 및 이것을 이용한 반도체 장치의 제조방법
KR102426414B1 (ko) * 2014-08-25 2022-07-28 닛산 가가쿠 가부시키가이샤 Soc 패턴 상에서의 패턴반전을 위한 피복용 조성물
JP6694162B2 (ja) * 2014-12-08 2020-05-13 日産化学株式会社 ハロゲン含有カルボン酸アミド基を有する加水分解性シランを含むリソグラフィー用レジスト下層膜形成組成物
JP6341109B2 (ja) * 2015-02-10 2018-06-13 信越化学工業株式会社 パターン形成方法
TWI566036B (zh) * 2015-03-31 2017-01-11 奇美實業股份有限公司 感光性聚矽氧烷組成物、保護膜以及具有保護膜的元件
US10558119B2 (en) 2015-05-25 2020-02-11 Nissan Chemical Industries, Ltd. Composition for coating resist pattern
JP6603115B2 (ja) * 2015-11-27 2019-11-06 信越化学工業株式会社 ケイ素含有縮合物、ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法
WO2017100629A1 (en) * 2015-12-09 2017-06-15 The Research Foundation For The State University Of New York Mixed transition metal oxides silica xerogels as antifouling/fouling release surfaces
US10032633B1 (en) 2017-01-17 2018-07-24 International Business Machines Corporation Image transfer using EUV lithographic structure and double patterning process
CN110809739B (zh) * 2017-07-06 2023-11-21 日产化学株式会社 碱性显影液可溶性的含有硅的抗蚀剂下层膜形成用组合物
US11034847B2 (en) 2017-07-14 2021-06-15 Samsung Electronics Co., Ltd. Hardmask composition, method of forming pattern using hardmask composition, and hardmask formed from hardmask composition
KR102433666B1 (ko) 2017-07-27 2022-08-18 삼성전자주식회사 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
KR102486388B1 (ko) 2017-07-28 2023-01-09 삼성전자주식회사 그래핀 양자점의 제조방법, 상기 제조방법에 따라 얻어진 그래핀 양자점을 포함한 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
WO2019044510A1 (ja) * 2017-08-30 2019-03-07 富士フイルム株式会社 パターン形成方法、イオン注入方法、積層体、キット、レジスト下層膜形成用組成物、レジスト組成物、及び、電子デバイスの製造方法
FI129480B (en) * 2018-08-10 2022-03-15 Pibond Oy Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning
WO2020176181A1 (en) * 2019-02-25 2020-09-03 Applied Materials, Inc. A film stack for lithography applications
JPWO2020241712A1 (zh) * 2019-05-30 2020-12-03
JP7149241B2 (ja) * 2019-08-26 2022-10-06 信越化学工業株式会社 レジスト材料及びパターン形成方法
WO2023136260A1 (ja) * 2022-01-14 2023-07-20 Jsr株式会社 半導体基板の製造方法、レジスト下層膜の形成方法及び洗浄液
WO2023228661A1 (ja) * 2022-05-24 2023-11-30 日産化学株式会社 薬液耐性保護膜

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11258813A (ja) 1998-03-13 1999-09-24 Jsr Corp 反射防止膜形成用組成物および反射防止膜
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
JP2005173552A (ja) * 2003-11-20 2005-06-30 Tokyo Ohka Kogyo Co Ltd リソグラフィー用下層膜形成材料およびこれを用いた配線形成方法
WO2005081065A1 (ja) * 2004-02-20 2005-09-01 Nippon Soda Co., Ltd. 光感応性基体及びパターニング方法
KR100882794B1 (ko) * 2005-03-01 2009-02-09 제이에스알 가부시끼가이샤 레지스트 하층막용 조성물 및 그의 제조 방법
JP4583237B2 (ja) 2005-05-16 2010-11-17 東京応化工業株式会社 反射防止膜形成用組成物、および配線形成方法
JP4553835B2 (ja) * 2005-12-14 2010-09-29 信越化学工業株式会社 反射防止膜材料、及びこれを用いたパターン形成方法、基板
WO2008047715A1 (fr) * 2006-10-12 2008-04-24 Nissan Chemical Industries, Ltd. procédé de fabrication d'un dispositif semi-conducteur à l'aide d'un stratifié à quatre couches
JP2008198788A (ja) * 2007-02-13 2008-08-28 Toshiba Corp レジストパターン形成方法
JP4793592B2 (ja) * 2007-11-22 2011-10-12 信越化学工業株式会社 金属酸化物含有膜形成用組成物、金属酸化物含有膜、金属酸化物含有膜形成基板及びこれを用いたパターン形成方法
CN101878451B (zh) * 2007-11-30 2013-04-24 日产化学工业株式会社 具有封端异氰酸酯基且含有硅的形成抗蚀剂下层膜的组合物
WO2009088039A1 (ja) * 2008-01-11 2009-07-16 Nissan Chemical Industries, Ltd. ウレア基を有するシリコン含有レジスト下層膜形成組成物
WO2009104552A1 (ja) * 2008-02-18 2009-08-27 日産化学工業株式会社 環状アミノ基を有するシリコン含有レジスト下層膜形成組成物
KR101215787B1 (ko) * 2008-03-10 2012-12-26 아사히 가세이 이-매터리얼즈 가부시키가이샤 감광성 폴리오르가노실록산 조성물
JP5101541B2 (ja) 2008-05-15 2012-12-19 信越化学工業株式会社 パターン形成方法
WO2010021290A1 (ja) * 2008-08-18 2010-02-25 日産化学工業株式会社 オニウム基を有するシリコン含有レジスト下層膜形成組成物
JP5015891B2 (ja) * 2008-10-02 2012-08-29 信越化学工業株式会社 金属酸化物含有膜形成用組成物、金属酸化物含有膜形成基板及びパターン形成方法
KR101736237B1 (ko) * 2009-06-08 2017-05-16 제이에스알 가부시끼가이샤 감방사선성 조성물, 보호막 및 층간 절연막 및, 그들의 형성 방법
JP2010286618A (ja) * 2009-06-10 2010-12-24 Tokyo Ohka Kogyo Co Ltd パターン形成方法
JP5650086B2 (ja) * 2011-06-28 2015-01-07 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法

Also Published As

Publication number Publication date
US20140120730A1 (en) 2014-05-01
TW201316127A (zh) 2013-04-16
TWI547764B (zh) 2016-09-01
JPWO2013012068A1 (ja) 2015-02-23
EP2735904A1 (en) 2014-05-28
EP2735904A4 (en) 2014-11-26
WO2013012068A1 (ja) 2013-01-24
KR20140037889A (ko) 2014-03-27
US9093279B2 (en) 2015-07-28
JP5999372B2 (ja) 2016-09-28
KR101964072B1 (ko) 2019-04-01
CN103718111A (zh) 2014-04-09

Similar Documents

Publication Publication Date Title
CN103718111B (zh) 含钛和硅的光刻用薄膜形成用组合物
JP6150088B2 (ja) スルホン構造を有する新規シラン化合物
CN101802712B (zh) 含有具有带氮的甲硅烷基的聚合物的、抗蚀剂下层膜形成用组合物
TWI526785B (zh) 具有含被保護之脂肪族醇的有機基之含矽阻劑底層膜形成組成物
CN105612459B (zh) 含有多酸的含金属抗蚀剂下层膜形成用组合物
TWI639891B (zh) 具有酯基之含矽光阻下層膜形成組成物
TWI681019B (zh) 包含具有含鹵素的羧酸醯胺基之水解性矽烷之微影蝕刻用光阻底層膜形成組成物
CN106662820B (zh) 具有卤代磺酰基烷基的含硅抗蚀剂下层膜形成用组合物
CN104380200A (zh) 含有磺酸*盐的含硅euv抗蚀剂下层膜形成用组合物
CN106662821A (zh) 具有含脂肪族多环结构的有机基团的含硅抗蚀剂下层膜形成用组合物
CN103339569B (zh) 包含含有二酮结构的有机基团的含有硅的形成抗蚀剂下层膜的组合物
CN107075302A (zh) 含交联反应性硅的膜形成用组合物
JP6562220B2 (ja) フェニル基含有クロモファーを有するシリコン含有レジスト下層膜形成組成物
CN110494807A (zh) 具有羰基结构的含有硅的抗蚀剂下层膜形成用组合物
CN107209460A (zh) 包含具有碳酸酯骨架的水解性硅烷的光刻用抗蚀剂下层膜形成用组合物
CN104395328B (zh) 具有砜结构及胺结构的含硅抗蚀剂下层膜形成组合物
TW201945848A (zh) 包含被保護酚基與硝酸之含矽阻劑下層膜形成組成物
TW202313791A (zh) 含矽之光阻下層膜形成用組成物、及含矽之光阻下層膜
TW202302724A (zh) 含矽之光阻下層膜形成用組成物
TW202336101A (zh) 含矽之光阻下層膜形成用組成物、及含矽之光阻下層膜
TW202236017A (zh) 阻劑下層膜形成用組成物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant