CN103378033A - 衬底通孔及其形成方法 - Google Patents

衬底通孔及其形成方法 Download PDF

Info

Publication number
CN103378033A
CN103378033A CN2012105582955A CN201210558295A CN103378033A CN 103378033 A CN103378033 A CN 103378033A CN 2012105582955 A CN2012105582955 A CN 2012105582955A CN 201210558295 A CN201210558295 A CN 201210558295A CN 103378033 A CN103378033 A CN 103378033A
Authority
CN
China
Prior art keywords
contact plug
source
gate electrode
face
tsv
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012105582955A
Other languages
English (en)
Other versions
CN103378033B (zh
Inventor
陈明发
王宇洋
詹森博
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103378033A publication Critical patent/CN103378033A/zh
Application granted granted Critical
Publication of CN103378033B publication Critical patent/CN103378033B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13024Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种器件包括半导体衬底和金属氧化物半导体(MOS)晶体管。MOS晶体管包括位于半导体衬底上方的栅电极和位于栅电极旁边的源极/漏极区。源极/漏极接触塞包括下部和位于下部上方的上部,其中源极/漏极接触塞设置在源极/漏极区上方并且与其电连接。栅极接触塞设置在栅电极上方并且与其电连接,其中栅极接触塞的顶面与源极/漏极接触塞的上部的顶面齐平。衬底通孔(TSV)延伸进半导体衬底。TSV的顶面与栅极接触塞和栅电极之间的界面基本上齐平。本发明提供衬底通孔及其形成方法。

Description

衬底通孔及其形成方法
技术领域
本发明涉及衬底通孔及其形成方法。
背景技术
自从集成电路发明以来,由于各种电子元件(例如,晶体管、二极管、电阻器、电容器等)的集成密度不断提高,半导体产业经历了持续快速的发展。大多数情况下,集成密度的提高来源于最小部件尺寸的不断减小,允许更多的元件在给定的芯片面积上集成。
本质上来说,这些集成方面的改进基本上是二维的(2D),因为被集成元件占用的体积基本上位于半导体晶圆的表面上。尽管光刻方面的明显改进导致了2D集成电路形成方面的显著改进,但二维所能实现的密度还是存在物理限制。限制之一是制造这些元件所需的最小尺寸。而且,当把更多的器件置于单个晶片内时,需要更复杂的设计。
另一个限制是,随着器件数目的增加,所带来的器件之间的互连的数目和长度的大幅增加。当互连的数目和长度增加时,电路RC延迟和能耗都增加。
在解决上述限制的努力中,通常使用三维集成电路(3DIC)和堆叠的管芯。硅通孔(TSV,或有时也被称为衬底通孔)常在3DIC和堆叠的管芯中用于连接管芯。在这种情况下,TSV用于将管芯上的集成电路连接至管芯的背面。此外,TSV还用于提供将集成电路中的地线连接至管芯的背面(其通常被接地铝膜覆盖)的短接地路径。
发明内容
为了解决现有技术中存在的问题,根据本发明的一个方面,提供了一种器件,包括:半导体衬底;金属氧化物半导体(MOS)晶体管,包括:栅电极,位于所述半导体衬底上方;和源极/漏极区,位于所述栅电极的旁边;源极/漏极接触塞,包括下部和位于所述下部上方的上部,其中,所述源极/漏极接触塞位于所述源极/漏极区上方并与所述源极/漏极区电连接;栅极接触塞,位于所述栅电极上方并与所述栅电极电连接,所述栅极接触塞的顶面与所述源极/漏极接触塞的上部的顶面齐平;以及衬底通孔(TSV),延伸进所述半导体衬底,所述TSV的顶面与所述栅极接触塞和所述栅电极之间的界面基本上齐平。
在上述器件中,还包括:位于所述半导体衬底上方的层间电介质,其中,所述栅电极和所述源极/漏极接触塞的下部包括位于所述层间电介质中的部分;以及位于所述层间电介质上方并与所述层间电介质接触的蚀刻停止层,其中,所述TSV的顶面与所述蚀刻停止层接触。
在上述器件中,还包括:位于所述半导体衬底上方的层间电介质,其中,所述栅电极和所述源极/漏极接触塞的下部包括位于所述层间电介质中的部分;以及位于所述层间电介质上方并与所述层间电介质接触的蚀刻停止层,其中,所述TSV的顶面与所述蚀刻停止层接触,其中,所述栅极接触塞和所述源极/漏极接触塞的上部穿透所述蚀刻停止层。
在上述器件中,还包括通孔和位于所述通孔上方的金属线,所述通孔和所述金属线形成双镶嵌结构,并且所述通孔的底面与所述栅极接触塞的顶面接触。
在上述器件中,还包括通孔和位于所述通孔上方的金属线,所述通孔和所述金属线形成双镶嵌结构,并且所述通孔的底面与所述栅极接触塞的顶面接触,还包括:位于所述栅电极上方的层间电介质,其中,所述源极/漏极接触塞的上部包括设置在所述层间电介质中的部分;以及位于所述层间电介质上方的蚀刻停止层,其中,所述通孔延伸进所述蚀刻停止层。
在上述器件中,其中,所述源极/漏极接触塞的上部和下部具有明显的界面。
在上述器件中,还包括位于所述TSV上方并与所述TSV接触的TSV接触塞,所述TSV接触塞与所述栅电极接触塞处于同一平面。
在上述器件中,其中,所述TSV包括:与所述半导体衬底接触的绝缘层;位于所述绝缘层上方的扩散阻挡层;以及位于所述扩散阻挡层上方的金属材料,其中,所述绝缘层、所述扩散阻挡层和所述金属材料中的每一个都从所述界面延伸进所述半导体衬底。
根据本发明的另一方面,还提供了一种器件,包括:半导体衬底;金属氧化物半导体(MOS)晶体管,包括:栅电极,位于所述半导体衬底上方;和源极/漏极区,位于所述栅电极的旁边;源极/漏极接触塞,包括下部和位于所述下部上方的上部,所述源极/漏极接触塞位于所述源极/漏极区上方并与所述源极/漏极区电连接;栅极接触塞,位于所述栅电极上方并与所述栅电极电连接,所述栅极接触塞的顶面与所述源极/漏极接触塞的上部的顶面齐平;衬底通孔(TSV),延伸进所述半导体衬底,所述TSV的顶面与所述源极/漏极接触塞的顶面基本上齐平;第一蚀刻停止层,位于所述TSV上方并与所述TSV接触;以及第一通孔和位于所述第一通孔上方的第一金属线,所述第一通孔和所述第一金属线形成第一双镶嵌结构,其中,所述第一通孔的底面与所述栅极接触塞的顶面接触,并且所述第一通孔延伸进所述第一蚀刻停止层。
在上述器件中,还包括:位于所述半导体衬底上方的层间电介质,其中,所述栅电极和所述源极/漏极接触塞的下部包括位于所述层间电介质内的部分;以及位于所述层间电介质上方并与所述层间电介质接触的第二蚀刻停止层,其中,所述源极/漏极接触塞的上部和下部具有与所述第二蚀刻停止层的底面基本上齐平的界面。
在上述器件中,还包括:位于所述半导体衬底上方的层间电介质,其中,所述栅电极和所述源极/漏极接触塞的下部包括位于所述层间电介质内的部分;以及位于所述层间电介质上方并与所述层间电介质接触的第二蚀刻停止层,其中,所述源极/漏极接触塞的上部和下部具有与所述第二蚀刻停止层的底面基本上齐平的界面,其中,所述栅极接触塞和所述源极/漏极接触塞的上部穿透所述第二蚀刻终止层。
在上述器件中,其中,所述源极/漏极接触塞的上部和下部形成明显的界面。
在上述器件中,还包括第二通孔和位于所述第二通孔上方的第二金属线,所述第二通孔和所述第二金属线形成第二双镶嵌结构,而且所述第二通孔的底面与所述TSV的顶面接触。
在上述器件中,其中,所述TSV包括:与所述半导体衬底接触的绝缘层;位于所述绝缘层上方的扩散阻挡层;以及位于所述扩散阻挡层上方的金属材料,其中,所述绝缘层、所述扩散阻挡层和所述金属材料中的每一个均从所述栅极接触塞的顶面延伸进所述半导体衬底。
根据本发明的又一方面,还提供了一种器件,包括:半导体衬底;金属氧化物半导体(MOS)晶体管,包括:栅电极,位于所述半导体衬底上方;和源极/漏极区,位于所述栅电极的旁边;源极/漏极接触塞,包括下部和位于所述下部上方的上部,所述源极/漏极接触塞位于所述源极/漏极区上方并与所述源极/漏极区电连接;位于所述栅电极上方并与所述栅电极电连接的栅极接触塞,所述栅极接触塞的顶面与所述源极/漏极接触塞的上部的顶面齐平;第一通孔和位于所述第一通孔上方的第一金属线,其中,所述第一通孔和所述第一金属线形成第一双镶嵌结构,所述第一通孔的底面与所述栅极接触塞的顶面接触;以及延伸进所述半导体衬底的衬底通孔(TSV),所述TSV的顶面与所述第一金属线的顶面基本上齐平。
在上述器件中,还包括:位于所述半导体衬底上方的层间电介质,其中,所述栅电极和所述源极/漏极接触塞的下部包括位于所述层间电介质中的部分;以及位于所述层间电介质上方并与所述层间电介质接触的蚀刻停止层,其中,所述源极/漏极接触塞的上部和下部具有与所述蚀刻停止层的底面基本上齐平的界面。
在上述器件中,还包括:位于所述半导体衬底上方的层间电介质,其中,所述栅电极和所述源极/漏极接触塞的下部包括位于所述层间电介质中的部分;以及位于所述层间电介质上方并与所述层间电介质接触的蚀刻停止层,其中,所述源极/漏极接触塞的上部和下部具有与所述蚀刻停止层的底面基本上齐平的界面,其中,所述源极/漏极接触塞的上部延伸穿透所述蚀刻停止层。
在上述器件中,其中,所述源极/漏极接触塞的上部和下部形成明显的界面。
在上述器件中,其中,所述TSV包括:与所述半导体衬底接触的绝缘层;位于所述绝缘层上方的扩散阻挡层;以及位于所述扩散阻挡层上方的金属材料,其中,所述绝缘层、所述扩散阻挡层和所述金属材料中的每一个均从所述第一金属线的顶面延伸进所述半导体衬底。
在上述器件中,还包括位于所述栅极接触塞和所述源极/漏极接触塞上方的蚀刻停止层,其中,所述蚀刻停止层的底面与所述栅极接触塞和所述源极/漏极接触塞的顶面基本上齐平。
附图说明
为了更全面理解本实施例及其优点,现在将结合附图所进行的以下描述作为参考,其中:
图1至图9是根据一些示例性实施例在制造衬底通孔(TSV)的中间阶段的截面图;
图10示出根据一些可选的示例性实施例的TSV和MOS器件的截面图;
图11示出形成图10中示出的结构的中间阶段;以及
图12和图13示出根据又一可选的实施例制造TSV和MOS器件的中间阶段的截面图。
具体实施方式
以下详细描述了本发明的实施例的制造和使用。然而,应该理解,本发明的实施例提供了许多可以在各种具体环境中实现的可应用的构思。所论述的具体实施例仅是示例性的,并不用来限制本发明的范围。
根据各个示例性实施例提供了衬底通孔(TSV)及其形成方法。示出了形成TSV的中间阶段。论述了实施例的变化。在各个视图和示例性实施例中,相同的参考标号用于表示相同的元件。
参考图1,提供了晶圆10。晶圆10包括衬底20,其可以包含硅、硅锗、硅碳、砷化镓、III-V族化合物半导体材料等。衬底20可以是块状衬底或绝缘体上半导体(SOI)衬底。在衬底20中形成绝缘区22,其可以是浅沟槽隔离(STI)区。
在衬底20的顶面形成金属氧化物半导体(MOS)器件(晶体管)24。MOS器件24包括栅极电介质25、栅电极26和位于栅极电介质25和栅电极26的侧壁上的栅极间隔件27。根据相应的MOS器件24的导电类型,源极和漏极区28(在下文中被称为源极/漏极区)可以是衬底20掺杂有p型或n型杂质的部分。源极/漏极区28还可以包括用于对MOS器件24的沟道区施加应力的应力源,其中应力源可以是硅锗应力源或硅碳应力源。尽管未示出,但源极/漏极硅化物可以作为源极/漏极区28的顶部和/或栅电极26的顶部形成。栅电极26可以是由金属或(一种或多种)金属合金形成的金属栅极,然而栅电极26还可以由多晶硅、金属硅化物等形成。栅电极26形成在层间电介质(ILD,在下文中被称为ILD0)30中,其中ILD030可以由诸如磷硅玻璃(PSG)、硼硅玻璃(BSG)、掺硼磷硅玻璃(BPSG)、原硅酸四乙酯(TEOS)氧化物等的氧化物形成。在一些实施例中,采用后栅极方法形成栅电极26,然而可以采用先栅极方法。栅电极26的顶面可以与ILD030的顶面齐平。
接触塞32(有时被称为M0OD 1或MD1)形成在ILD030中,并且与源极/漏极区28重叠且电连接。在一些实施例中,MD132的顶面、栅电极26的顶面和/或ILD030的顶面相互齐平。
参考图2,在ILD0 30、栅电极26和MD1 32上方形成化学机械抛光(CMP)停止层38,CMP停止层38可以包含氮化硅、碳氧化硅等。接下来,在CMP停止层38上方形成光刻胶40,然后使其图案化。MOS器件24受到光刻胶40的剩余部分的保护。然后实施图案化以蚀刻CMP停止层38、ILD0 30和衬底20以形成TSV开口42。在一些实施例中,TSV开口42延伸进STI区22之一(标记为22A)的中心部分,从而STI区22的剩余部分环绕在TSV开口42的周围。在蚀刻过程中,STI区22A可以用作蚀刻停止层。可选地,TSV开口42不穿透任何STI区22。TSV开口42在衬底20的顶面和底面之间的中间位置终止。
参考图3,例如通过灰化步骤去除光刻胶40。接下来,如图4所示,绝缘层44在CMP停止层38的顶面上形成,并延伸进TSV开口42。绝缘层44可以是基本上共形层,其中绝缘层44的横向部分和纵向部分具有基本上相同的厚度。绝缘层44可以包含氧化硅、氮化硅、碳化硅、氮氧化硅、它们的组合或它们的多层。接下来,覆盖形成扩散阻挡层46(还作为粘着层)以覆盖TSV开口42的侧壁和底部。举例来说,扩散阻挡层46可以包含钛、氮化钛、钽、氮化钽以及它们的组合,并且可以采用物理汽相沉积(PVD)形成。接下来,可以在扩散阻挡层46上覆盖形成薄晶种层(未示出)。晶种层可以包含铜或铜合金,并且也可以包含诸如钨、银、金、铝以及它们的组合的金属。在一些实施例中,通过PVD形成晶种层。在其它实施例中,可以使用诸如电镀或无电电镀的其它方法。
然后将金属材料48填充到TSV开口42内,从而在TSV开口42中形成TSV 50。在各个实施例中,金属材料48包含铜或铜合金,然而也可以使用诸如铝、银、金、以及它们的组合的其他金属。举例来说,形成方法可以包括电镀。填充金属材料48直到金属材料48的顶面高于CMP停止层38的顶面。
图5示出CMP步骤用于去除多余的金属材料48。在一些实施例中,采用基本上不侵蚀CMP停止层38的研磨液实施CMP,因此CMP在CMP停止层38上终止。然后实施进一步CMP,例如采用侵蚀CMP停止层38的研磨液。因此,在一些实施例中,暴露出MD132和栅电极26的顶面。在得到的结构中,TSV50的顶面与MD 132、ILD030的顶面齐平,并且可能与栅电极26的顶面齐平。
参考图6,形成接触蚀刻停止层(CESL)52和ILD1 54。在一些实施例中,CESL 52由氮化硅或其他介电材料形成。ILD1 54可以包含碳氧化硅、TEOS氧化物等。
接下来,图7示出栅极接触塞56、源极/漏极接触塞58(由于它们与MD 132重叠且连接,有时被称为M0OD2或MD2)的形成。由于栅极接触塞56与栅电极26重叠且连接,栅极接触塞56可选地被称为M0多晶硅56,其有时包含多晶硅。此外,形成TSV接触塞58’以重叠且连接至TSV 50,而且用作电连接至TSV 50。在ILD 154中形成接触塞56、58和58’,其中接触塞56电连接至(可以物理接触)栅电极26。源极/漏极接触塞58电连接至(可以物理接触)M0 OD1 32。TSV接触塞58’可以穿透CESL 52以接触TSV50。接触塞56、58和58’的形成工艺可以包括在ILD1 54和CESL52中形成开口、用粘附/阻挡层和诸如钨或铜的金属材料填充开口以及实施CMP。
可以看到,每个MD1 32和各自上覆的MD2 58一起形成源极/漏极接触塞。由于MD1 32和MD258在不同的工艺步骤中形成,所以MD1 32和MD2 58之间存在明显的界面。此外,MD132和MD258的边缘不是连续且光滑的。
在随后的工艺中,如图8所示,形成蚀刻停止层60、M0通孔62和金属线64。金属线64都被称为底金属层M1。在介电层66中形成M0通孔62和金属线64,其中介电层66可以由低k介电材料(例如k值小于约3.0或小于约2.5)形成。介电层66可选地被称为金属间介电(IMD)层或IMD 1。
在一些实施例中,M0通孔62和金属线64作为双镶嵌结构形成,因此在M0通孔62和相应上覆的金属线64之间未形成明显的界面。双镶嵌结构可以包括扩散阻挡层63(诸如Ti/TiN/Ta/TaN)和位于扩散阻挡层上方的含铜材料。当M0通孔62和金属线64形成双镶嵌结构时,扩散阻挡层未介入M0通孔62和上覆的金属线64之间。在可选的实施例中,可以采用单镶嵌工艺形成M0通孔62,也可以使用单镶嵌工艺形成金属线64。在又一其他实施例中,未形成M0通孔62,而金属线64与接触塞56和58接触。在随后的工艺中,可以在金属线64上方形成更多的金属层(未示出)。然后可以形成蚀刻停止层68,并可以在更多的介电层中形成进一步的金属线和通孔(未示出,用点表示)以电连接至TSV 50和接触塞56和58。
图9示出连接至TSV 50的背面结构的形成。在一些示例性形成工艺中,从背面(图8和图9中向下的面)研磨衬底20直到暴露出TSV 50。然后形成再分布线/焊盘70以电连接至TSV 50。可以在再分布线/焊盘70上形成电连接件72。电连接件72可以是焊球、铜柱或包括铜柱和焊料盖顶的复合连接件。
图10至图13示出根据可选的实施例的TSV 50的形成。除非另有说明,这些实施例中元件的材料和形成方法基本上与相似的元件(由图1至图9中示出的实施例中的相同参考标号表示)一样。因而可以在图1至图9示出的实施例的论述中得到图10至图13中示出的相同元件的详情。
参考图10,TSV 50的顶面与M0多晶硅56和MD2 58齐平。该形成工艺与形成图8中的TSV 50的工艺类似,除了TSV50的形成在M0多晶硅56和MD2 58形成之后和在蚀刻停止层60形成之前开始。例如,图11示出在形成TSV 50的中间阶段的截面图。在这些示例性实施例中,在ILD 154、M0多晶硅56和MD2 58形成之后,形成CMP停止层38,然后形成TSV开口42。接下来,形成绝缘层、扩散阻挡层和晶种层(未示出)。然后形成金属材料以填充剩余的TSV开口42。可以参考图4中示出的实施例得到形成绝缘层、扩散阻挡层、晶种层和金属材料的工艺步骤和材料。然后实施CMP,从而形成图10中示出的TSV 50。接下来,还如图10所示,形成包括蚀刻停止层60、M0通孔62和金属线64的上覆正面结构。在TSV50上方并与其接触形成一些M0通孔62和金属线64。然后从衬底20的背面实施背面研磨以暴露出TSV 50,接着形成再分布线/焊盘70和电连接件72。
图12和图13示出根据又一可选的实施例形成TSV 50的中间阶段的截面图。在这些实施例中,采用与图2至图5基本上相同的方法,在金属线64形成之后形成TSV 50。然后形成蚀刻停止层68。因此,TSV 50的顶面与金属线64的顶面齐平,其可以与下面的通孔0 62形成双镶嵌结构。可以在图12和图13示出的结构上方形成分别与金属线64、通孔62和介电层66类似的更多的金属线、通孔和介电层。
根据实施例,一种器件包括半导体衬底和MOS晶体管。MOS晶体管包括位于半导体衬底上方的栅电极,和位于栅电极旁边的源极/漏极区。源极/漏极接触塞包括下部和位于下部上方的上部,其中源极/漏极接触塞设置在源极/漏极区上方并且与源极/漏极区电连接。栅极接触塞设置在栅电极上方并且与栅电极电连接,其中栅极接触塞的顶面与源极/漏极接触塞的上部的顶面齐平。TSV延伸进半导体衬底。TSV的顶面与栅极接触塞与栅电极之间的界面基本上齐平。
根据其它实施例,一种器件包括半导体衬底和MOS晶体管。MOS晶体管包括位于半导体衬底上方的栅电极,和位于栅电极旁边的源极/漏极区。源极/漏极接触塞包括下部和位于下部上方的上部,其中源极/漏极接触塞位于源极/漏极区上方并且与源极/漏极区电连接。栅极接触塞设置在栅电极上方并且与栅电极电连接,其中栅极接触塞的顶面与源极/漏极接触塞的上部的顶面齐平。TSV延伸进半导体衬底,其中TSV的顶面与源极/漏极接触塞的顶面基本上齐平。蚀刻停止层设置在TSV上方并且与TSV接触。该器件还包括通孔和位于通孔上方的金属线,其中通孔和金属线形成双镶嵌结构。通孔的底面与栅极接触塞的顶面接触。通孔延伸进蚀刻停止层。
根据又一其他实施例,一种器件包括半导体衬底和MOS晶体管。MOS晶体管包括位于半导体衬底上方的栅电极,和位于栅电极旁边的源极/漏极区。源极/漏极接触塞包括下部和位于下部上方的上部,其中源极/漏极接触塞位于源极/漏极区上方并且与源极/漏极区电连接。栅极接触塞设置在栅电极上方并且与栅电极电连接,其中栅极接触塞的顶面与源极/漏极接触塞的上部的顶面齐平。该器件还包括通孔和位于通孔上方的金属线,其中通孔和金属线形成双镶嵌结构。通孔的底面与栅极接触塞的顶面接触。TSV延伸进半导体衬底,其中TSV的顶面与金属线的顶面基本上齐平。
尽管已详细地描述了本发明的实施例及其优势,但应该理解,可以在不背离所附权利要求所限定的本发明主旨和范围的情况下,做各种不同的改变、替换或更改。而且,本申请的范围并不仅限于本说明书中所描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域的普通技术人员根据本发明的发明内容将很容易理解,根据本发明可以利用现有的或今后开发的用于执行与根据本文所述相应实施例基本上相同的功能或获得基本上相同结果的工艺、机器、制造、材料组分、装置、方法或步骤。因此,所附权利要求应该在其范围内包括这样的工艺、机器、制造、材料组分、装置、方法或步骤。此外,每条权利要求构成单独的实施例,并且多个权利要求和实施例的组合在本发明的范围内。

Claims (10)

1.一种器件,包括:
半导体衬底;
金属氧化物半导体(MOS)晶体管,包括:
栅电极,位于所述半导体衬底上方;和
源极/漏极区,位于所述栅电极的旁边;
源极/漏极接触塞,包括下部和位于所述下部上方的上部,其中,所述源极/漏极接触塞位于所述源极/漏极区上方并与所述源极/漏极区电连接;
栅极接触塞,位于所述栅电极上方并与所述栅电极电连接,所述栅极接触塞的顶面与所述源极/漏极接触塞的上部的顶面齐平;以及
衬底通孔(TSV),延伸进所述半导体衬底,所述TSV的顶面与所述栅极接触塞和所述栅电极之间的界面基本上齐平。
2.根据权利要求1所述的器件,还包括:
位于所述半导体衬底上方的层间电介质,其中,所述栅电极和所述源极/漏极接触塞的下部包括位于所述层间电介质中的部分;以及
位于所述层间电介质上方并与所述层间电介质接触的蚀刻停止层,其中,所述TSV的顶面与所述蚀刻停止层接触。
3.根据权利要求2所述的器件,其中,所述栅极接触塞和所述源极/漏极接触塞的上部穿透所述蚀刻停止层。
4.根据权利要求1所述的器件,还包括通孔和位于所述通孔上方的金属线,所述通孔和所述金属线形成双镶嵌结构,并且所述通孔的底面与所述栅极接触塞的顶面接触。
5.一种器件,包括:
半导体衬底;
金属氧化物半导体(MOS)晶体管,包括:
栅电极,位于所述半导体衬底上方;和
源极/漏极区,位于所述栅电极的旁边;
源极/漏极接触塞,包括下部和位于所述下部上方的上部,所述源极/漏极接触塞位于所述源极/漏极区上方并与所述源极/漏极区电连接;
栅极接触塞,位于所述栅电极上方并与所述栅电极电连接,所述栅极接触塞的顶面与所述源极/漏极接触塞的上部的顶面齐平;
衬底通孔(TSV),延伸进所述半导体衬底,所述TSV的顶面与所述源极/漏极接触塞的顶面基本上齐平;
第一蚀刻停止层,位于所述TSV上方并与所述TSV接触;以及
第一通孔和位于所述第一通孔上方的第一金属线,所述第一通孔和所述第一金属线形成第一双镶嵌结构,其中,所述第一通孔的底面与所述栅极接触塞的顶面接触,并且所述第一通孔延伸进所述第一蚀刻停止层。
6.根据权利要求5所述的器件,还包括:
位于所述半导体衬底上方的层间电介质,其中,所述栅电极和所述源极/漏极接触塞的下部包括位于所述层间电介质内的部分;以及
位于所述层间电介质上方并与所述层间电介质接触的第二蚀刻停止层,其中,所述源极/漏极接触塞的上部和下部具有与所述第二蚀刻停止层的底面基本上齐平的界面。
7.根据权利要求6所述的器件,其中,所述栅极接触塞和所述源极/漏极接触塞的上部穿透所述第二蚀刻终止层。
8.根据权利要求5所述的器件,其中,所述源极/漏极接触塞的上部和下部形成明显的界面。
9.一种器件,包括:
半导体衬底;
金属氧化物半导体(MOS)晶体管,包括:
栅电极,位于所述半导体衬底上方;和
源极/漏极区,位于所述栅电极的旁边;
源极/漏极接触塞,包括下部和位于所述下部上方的上部,所述源极/漏极接触塞位于所述源极/漏极区上方并与所述源极/漏极区电连接;
位于所述栅电极上方并与所述栅电极电连接的栅极接触塞,所述栅极接触塞的顶面与所述源极/漏极接触塞的上部的顶面齐平;
第一通孔和位于所述第一通孔上方的第一金属线,其中,所述第一通孔和所述第一金属线形成第一双镶嵌结构,所述第一通孔的底面与所述栅极接触塞的顶面接触;以及
延伸进所述半导体衬底的衬底通孔(TSV),所述TSV的顶面与所述第一金属线的顶面基本上齐平。
10.根据权利要求9所述的器件,还包括:
位于所述半导体衬底上方的层间电介质,其中,所述栅电极和所述源极/漏极接触塞的下部包括位于所述层间电介质中的部分;以及
位于所述层间电介质上方并与所述层间电介质接触的蚀刻停止层,其中,所述源极/漏极接触塞的上部和下部具有与所述蚀刻停止层的底面基本上齐平的界面。
CN201210558295.5A 2012-04-27 2012-12-13 衬底通孔及其形成方法 Active CN103378033B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/457,823 2012-04-27
US13/457,823 US8803292B2 (en) 2012-04-27 2012-04-27 Through-substrate vias and methods for forming the same

Publications (2)

Publication Number Publication Date
CN103378033A true CN103378033A (zh) 2013-10-30
CN103378033B CN103378033B (zh) 2016-01-20

Family

ID=49462952

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210558295.5A Active CN103378033B (zh) 2012-04-27 2012-12-13 衬底通孔及其形成方法

Country Status (4)

Country Link
US (3) US8803292B2 (zh)
KR (1) KR101412828B1 (zh)
CN (1) CN103378033B (zh)
TW (1) TWI503981B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103579186A (zh) * 2012-08-10 2014-02-12 台湾积体电路制造股份有限公司 连接通孔至器件
CN104752323A (zh) * 2013-12-27 2015-07-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法
CN107768305A (zh) * 2016-08-17 2018-03-06 三星电子株式会社 器件及其制造方法
CN107924947A (zh) * 2015-09-25 2018-04-17 英特尔公司 用于器件两侧的金属的背面触点结构和制造
US10157774B1 (en) 2017-07-25 2018-12-18 Globalfoundries Inc. Contact scheme for landing on different contact area levels
CN111883541A (zh) * 2020-06-30 2020-11-03 复旦大学 一种用于三维封装的soi有源转接板及其制备方法

Families Citing this family (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9673132B2 (en) 2012-04-27 2017-06-06 Taiwan Semiconductor Manufacting Company, Ltd. Interconnection structure with confinement layer
US8901627B2 (en) * 2012-11-16 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Jog design in integrated circuits
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
US9761481B2 (en) * 2013-01-23 2017-09-12 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with metal layer connection to through-semiconductor via
US9105701B2 (en) * 2013-06-10 2015-08-11 Micron Technology, Inc. Semiconductor devices having compact footprints
US9514986B2 (en) 2013-08-28 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Device with capped through-substrate via structure
US9331038B2 (en) 2013-08-29 2016-05-03 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor interconnect structure
US9406588B2 (en) 2013-11-11 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method thereof
US20150137323A1 (en) * 2013-11-15 2015-05-21 United Microelectronics Corp. Method for fabricating through silicon via structure
US9093503B1 (en) * 2014-01-03 2015-07-28 International Business Machines Corporation Semiconductor chip with a dual damascene wire and through-substrate via (TSV) structure
US9865523B2 (en) 2014-01-17 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Robust through-silicon-via structure
US9831154B2 (en) 2014-07-14 2017-11-28 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacuting method of the same
KR102299781B1 (ko) * 2014-07-21 2021-09-08 삼성전자주식회사 반도체 장치 및 이의 제조 방법
DE102014115105B4 (de) 2014-10-09 2023-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitereinrichtung und Verfahren zur Herstellung einer Halbleitereinrichtung
CN105575946A (zh) * 2014-10-16 2016-05-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9431351B2 (en) 2014-10-17 2016-08-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US11018099B2 (en) 2014-11-26 2021-05-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having a conductive bump with a plurality of bump segments
US9659863B2 (en) 2014-12-01 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, multi-die packages, and methods of manufacture thereof
JP6442800B2 (ja) * 2014-12-25 2018-12-26 住友電工デバイス・イノベーション株式会社 半導体装置及び半導体装置を製造する方法
US9502272B2 (en) 2014-12-29 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods of packaging semiconductor devices
US10319701B2 (en) 2015-01-07 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded 3D integrated circuit (3DIC) structure
US9601410B2 (en) 2015-01-07 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9633958B2 (en) 2015-01-30 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad surface damage reduction in a formation of digital pattern generator
US10163709B2 (en) 2015-02-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10497660B2 (en) 2015-02-26 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
US9786519B2 (en) 2015-04-13 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and methods of packaging semiconductor devices
US9748212B2 (en) 2015-04-30 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shadow pad for post-passivation interconnect structures
US10340258B2 (en) 2015-04-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
US9969614B2 (en) 2015-05-29 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS packages and methods of manufacture thereof
US9520385B1 (en) 2015-06-29 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method for forming same
US10170444B2 (en) 2015-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages for semiconductor devices, packaged semiconductor devices, and methods of packaging semiconductor devices
US9536865B1 (en) 2015-07-23 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection joints having variable volumes in package structures and methods of formation thereof
US9570431B1 (en) 2015-07-28 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer for integrated packages
US9570410B1 (en) 2015-07-31 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming connector pad structures, interconnect structures, and structures thereof
US9691695B2 (en) 2015-08-31 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Monolithic 3D integration inter-tier vias insertion scheme and associated layout structure
US10644229B2 (en) 2015-09-18 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetoresistive random access memory cell and fabricating the same
US10269682B2 (en) 2015-10-09 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling devices, packaged semiconductor devices, and methods of packaging semiconductor devices
US10043761B2 (en) 2015-10-19 2018-08-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9659878B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level shielding in multi-stacked fan out packages and methods of forming same
US10163856B2 (en) 2015-10-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuit structure and method of forming
US9691723B2 (en) 2015-10-30 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Connector formation methods and packaged semiconductor devices
US9911623B2 (en) 2015-12-15 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Via connection to a partially filled trench
US9972603B2 (en) 2015-12-29 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Seal-ring structure for stacking integrated circuits
US9741694B2 (en) 2015-12-31 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
US9589941B1 (en) 2016-01-15 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip package system and methods of forming the same
US9773757B2 (en) 2016-01-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaged semiconductor devices, and semiconductor device packaging methods
US9741669B2 (en) 2016-01-26 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Forming large chips through stitching
US10050018B2 (en) 2016-02-26 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
KR102493128B1 (ko) * 2016-04-12 2023-01-31 삼성디스플레이 주식회사 박막트랜지스터 기판, 이를 포함하는 표시 장치 및 그 제조 방법
US9842829B2 (en) 2016-04-29 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US9859258B2 (en) 2016-05-17 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10147704B2 (en) 2016-05-17 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US9748206B1 (en) 2016-05-26 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional stacking structure and manufacturing method thereof
US9881903B2 (en) 2016-05-31 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure with epoxy flux residue
US9793246B1 (en) 2016-05-31 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Pop devices and methods of forming the same
US9875982B2 (en) 2016-06-01 2018-01-23 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor device and manufacturing method thereof
US10050024B2 (en) 2016-06-17 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10475769B2 (en) 2016-06-23 2019-11-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10854579B2 (en) 2016-06-23 2020-12-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure
US10229901B2 (en) 2016-06-27 2019-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion interconnections for semiconductor devices and methods of manufacture thereof
US10115675B2 (en) 2016-06-28 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device and method of fabricating a packaged semiconductor device
US9941186B2 (en) 2016-06-30 2018-04-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10685911B2 (en) 2016-06-30 2020-06-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10163805B2 (en) 2016-07-01 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US9893046B2 (en) 2016-07-08 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Thinning process using metal-assisted chemical etching
US9875972B1 (en) 2016-07-14 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9870975B1 (en) 2016-07-14 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package with thermal dissipation structure and method for forming the same
US10332841B2 (en) 2016-07-20 2019-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. System on integrated chips and methods of forming the same
US10269732B2 (en) 2016-07-20 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Info package with integrated antennas or inductors
US10720360B2 (en) 2016-07-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die singulation and structures formed thereby
US10157885B2 (en) 2016-07-29 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having magnetic bonding between substrates
US10120971B2 (en) 2016-08-30 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and layout method thereof
US10276491B2 (en) * 2016-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and methods thereof
US10535632B2 (en) 2016-09-02 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method of manufacturing the same
US10049981B2 (en) 2016-09-08 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Through via structure, semiconductor device and manufacturing method thereof
US10290609B2 (en) 2016-10-13 2019-05-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
US10153222B2 (en) 2016-11-14 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10529690B2 (en) 2016-11-14 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10141253B2 (en) 2016-11-14 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11527454B2 (en) 2016-11-14 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10170429B2 (en) 2016-11-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure including intermetallic compound
US10153218B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10290590B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Company Ltd. Stacked semiconductor device and method of manufacturing the same
US10825780B2 (en) 2016-11-29 2020-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with electromagnetic interference protection and method of manufacture
US10153320B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the same
US10269637B2 (en) 2016-12-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
WO2018111223A1 (en) * 2016-12-12 2018-06-21 Intel Corporation Hybrid finfet structure with bulk source/drain regions
US10535597B2 (en) 2017-01-13 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10741537B2 (en) 2017-01-18 2020-08-11 Taiwan Semiconductor Manufacturing Coompany Ltd. Semiconductor structure and manufacturing method thereof
US10629545B2 (en) 2017-03-09 2020-04-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device
US10790240B2 (en) 2017-03-17 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Metal line design for hybrid-bonding application
US11304290B2 (en) 2017-04-07 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods
US10014218B1 (en) 2017-04-20 2018-07-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with bumps
US9929128B1 (en) 2017-04-20 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with adhesive layer
US10319690B2 (en) 2017-04-28 2019-06-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10163627B2 (en) 2017-05-18 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US10468345B2 (en) 2017-05-19 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. 3D IC decoupling capacitor structure and method for manufacturing the same
US10879194B2 (en) 2017-05-25 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device package and method of manufacturing the same
US10290584B2 (en) 2017-05-31 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in semiconductor packages and methods of forming same
JP6972686B2 (ja) * 2017-06-15 2021-11-24 株式会社ジェイテクト 半導体装置
US10510722B2 (en) 2017-06-20 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US10304800B2 (en) 2017-06-23 2019-05-28 Taiwan Semiconductor Manufacturing Company Ltd. Packaging with substrates connected by conductive bumps
US10535680B2 (en) 2017-06-29 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method with hybrid orientation for FinFET
DE102018106434B4 (de) 2017-06-30 2023-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US10483187B2 (en) 2017-06-30 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Heat spreading device and method
US11121050B2 (en) 2017-06-30 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a semiconductor device
US10276528B2 (en) 2017-07-18 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor device and manufacturing method thereof
US10535591B2 (en) 2017-08-10 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of manufacturing the same
US10074618B1 (en) 2017-08-14 2018-09-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10510691B2 (en) 2017-08-14 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10461022B2 (en) 2017-08-21 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and manufacturing method thereof
US10510718B2 (en) 2017-08-28 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10340242B2 (en) 2017-08-28 2019-07-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of manufacturing the same
US10290610B2 (en) 2017-08-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. PoP device and method of forming the same
US10665521B2 (en) 2017-08-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Planar passivation layers
US10157867B1 (en) 2017-08-31 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10461014B2 (en) 2017-08-31 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Heat spreading device and method
US10510603B2 (en) 2017-08-31 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in semiconductor packages and methods of forming same
US10515888B2 (en) 2017-09-18 2019-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US10468307B2 (en) 2017-09-18 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US11018134B2 (en) * 2017-09-26 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10497690B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package, method for forming semiconductor package, and method for forming semiconductor assembly
US10157892B1 (en) 2017-09-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming the same
US10483936B2 (en) 2017-09-28 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Band stop filter structures and methods of forming and operating same
DE102018107014A1 (de) 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co. Ltd. Bandsperrfilterstrukturen und Verfahren zum Ausbilden und Betreiben derselben
US11394359B2 (en) 2017-09-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Band stop filter structure and method of forming
US10861761B2 (en) 2017-09-29 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor packaged wafer and method for forming the same
US10818624B2 (en) 2017-10-24 2020-10-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US10163825B1 (en) * 2017-10-26 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10763239B2 (en) 2017-10-27 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-chip wafer level packages and methods of forming the same
US10276543B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor device package and method of forming semicondcutor device package
US10665560B2 (en) 2017-10-27 2020-05-26 Taiwan Semiconductor Manufacturing Company Ltd. Optical semiconductor package and method for manufacturing the same
US10665582B2 (en) 2017-11-01 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor package structure
US10879214B2 (en) 2017-11-01 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
US10672737B2 (en) 2017-11-05 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structure and method of manufacturing the same
US10636715B2 (en) 2017-11-06 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating the same
US10170441B1 (en) 2017-11-07 2019-01-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
DE102018111389A1 (de) 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung und Herstellungsverfahren
US10522436B2 (en) 2017-11-15 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization of semiconductor packages and structures resulting therefrom
US10586763B2 (en) 2017-11-15 2020-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10763296B2 (en) 2017-11-22 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Biometric sensor and methods thereof
US10797005B2 (en) 2017-11-27 2020-10-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method for manufacturing the same
US10371893B2 (en) 2017-11-30 2019-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect device and method
US10312201B1 (en) 2017-11-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring for hybrid-bond
US11152295B2 (en) 2018-04-13 2021-10-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US10468379B1 (en) 2018-05-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure and method of manufacturing the same
US10475762B1 (en) 2018-05-17 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure and method of manufacturing the same
US10515869B1 (en) 2018-05-29 2019-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure having a multi-thermal interface material structure
US10879183B2 (en) 2018-06-22 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10847492B2 (en) 2018-06-25 2020-11-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method for the same
US10672674B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device package having testing pads on a topmost die
US11139282B2 (en) 2018-07-26 2021-10-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US10867903B2 (en) 2018-07-27 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of forming the same
US10811316B2 (en) 2018-08-13 2020-10-20 Taiwan Semiconductor Manufacturing Company Ltd. Method and system of forming integrated circuit
US10784151B2 (en) * 2018-09-11 2020-09-22 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and manufacturing method for the same
US10504824B1 (en) 2018-09-21 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11172142B2 (en) 2018-09-25 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor for sensing LED light with reduced flickering
US11563167B2 (en) 2018-09-26 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an MRAM device with a multi-layer top electrode
US11201122B2 (en) 2018-09-27 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device with reduced warpage and better trench filling performance
US11164754B2 (en) 2018-09-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out packages and methods of forming the same
US11081392B2 (en) 2018-09-28 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Dicing method for stacked semiconductor devices
US11037952B2 (en) 2018-09-28 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Peripheral circuitry under array memory device and method of fabricating thereof
KR102612592B1 (ko) * 2018-10-15 2023-12-12 삼성전자주식회사 반도체 소자
US10804230B2 (en) 2018-10-17 2020-10-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of manufacturing the same
US11049767B2 (en) * 2018-10-31 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and methods of manufacturing thereof
US11183454B2 (en) 2018-11-30 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Functional component within interconnect structure of semiconductor device and method of forming same
DE102019130124A1 (de) 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Funktionale komponente innerhalb einer verbindungsstruktur einer halbleitervorrichtung und verfahren zum bilden derselben
US11094811B2 (en) 2019-04-19 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10937772B2 (en) 2019-05-29 2021-03-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method for manufacturing the same
US10867982B1 (en) 2019-06-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid integrated circuit package and method
US10937736B2 (en) 2019-06-14 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid integrated circuit package and method
US11387177B2 (en) 2019-06-17 2022-07-12 Taiwan Semiconductor Manufacturing Company Ltd. Package structure and method for forming the same
US11837526B2 (en) 2019-06-24 2023-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US11114413B2 (en) 2019-06-27 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Stacking structure, package structure and method of fabricating the same
US11063019B2 (en) 2019-07-17 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, chip structure and method of fabricating the same
KR20210012084A (ko) * 2019-07-23 2021-02-03 삼성전자주식회사 반도체 장치
KR20210018669A (ko) 2019-08-08 2021-02-18 삼성전자주식회사 비아 및 배선을 포함하는 반도체 소자
US11257791B2 (en) 2019-08-28 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked die structure and method of fabricating the same
US11164824B2 (en) 2019-08-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11532580B2 (en) 2019-08-29 2022-12-20 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure, semiconductor structure including interconnect structure and method for forming the same
US11081447B2 (en) 2019-09-17 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Graphene-assisted low-resistance interconnect structures and methods of formation thereof
US11503711B2 (en) 2019-09-27 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for inserting dummy capacitor structures
US10879206B1 (en) 2019-10-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11189546B2 (en) * 2019-10-18 2021-11-30 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method for making
US11133304B2 (en) 2019-11-27 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Packaging scheme involving metal-insulator-metal capacitor
CN113035788A (zh) 2019-12-25 2021-06-25 台湾积体电路制造股份有限公司 封装结构及其制作方法
US11450654B2 (en) 2019-12-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11424219B2 (en) 2020-01-16 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11094682B2 (en) 2020-01-16 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11239134B2 (en) 2020-01-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11201106B2 (en) 2020-01-24 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with conductors embedded in a substrate
US11342413B2 (en) 2020-04-24 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective liner on backside via and method thereof
US11670692B2 (en) 2020-05-13 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices having self-aligned capping between channel and backside power rail
US11996409B2 (en) 2020-05-20 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking CMOS structure
US11443987B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside air gap dielectric
US11631736B2 (en) 2020-06-15 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain feature with enlarged lower section interfacing with backside via
US11233005B1 (en) 2020-07-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing an anchor-shaped backside via
US11778918B2 (en) 2020-08-20 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic memory cell with low-resistive electrode via and method of forming same
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
US11658119B2 (en) 2020-10-27 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside signal interconnection
US11940662B2 (en) 2020-10-27 2024-03-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11521893B2 (en) 2020-10-30 2022-12-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11437332B2 (en) 2020-10-30 2022-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Package structure and method of manufacturing the same
CN112769032B (zh) * 2020-12-31 2022-11-01 联合微电子中心有限责任公司 一种背向集成激光器件及其制造方法
US11710712B2 (en) 2021-01-05 2023-07-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
US11749643B2 (en) 2021-03-03 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11854987B2 (en) 2021-03-10 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with interconnection features in a seal region and methods for forming the same
US11756854B2 (en) 2021-03-18 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11823887B2 (en) 2021-03-19 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11854944B2 (en) 2021-03-26 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts
US11848372B2 (en) 2021-04-21 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for reducing source/drain contact resistance at wafer backside
US12009316B2 (en) 2021-04-29 2024-06-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing a semiconductor structure
US11901349B2 (en) 2021-05-13 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11810847B2 (en) 2021-06-24 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11715646B2 (en) 2021-07-16 2023-08-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
CN115881687A (zh) * 2021-08-27 2023-03-31 长鑫存储技术有限公司 一种半导体结构、半导体结构制作方法和存储器
US20230068312A1 (en) * 2021-09-02 2023-03-02 Applied Materials, Inc. Backside power rail to deep vias

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090191708A1 (en) * 2008-01-30 2009-07-30 Kropewnicki Thomas J Method for forming a through silicon via layout
CN101924096A (zh) * 2009-06-12 2010-12-22 台湾积体电路制造股份有限公司 硅通孔结构及其形成工艺
US7960282B2 (en) * 2009-05-21 2011-06-14 Globalfoundries Singapore Pte. Ltd. Method of manufacture an integrated circuit system with through silicon via
US20110254165A1 (en) * 2010-04-19 2011-10-20 Renesas Electronics Corporation Semiconductor integrated circuit device and production method thereof

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6100146A (en) * 1996-10-30 2000-08-08 Advanced Micro Devices, Inc. Method of forming trench transistor with insulative spacers
US6376351B1 (en) * 2001-06-28 2002-04-23 Taiwan Semiconductor Manufacturing Company High Fmax RF MOSFET with embedded stack gate
US6927414B2 (en) 2003-06-17 2005-08-09 International Business Machines Corporation High speed lateral heterojunction MISFETs realized by 2-dimensional bandgap engineering and methods thereof
JP2005223109A (ja) 2004-02-05 2005-08-18 Renesas Technology Corp 半導体装置およびその製造方法
US7041576B2 (en) 2004-05-28 2006-05-09 Freescale Semiconductor, Inc. Separately strained N-channel and P-channel transistors
JP2006108365A (ja) 2004-10-05 2006-04-20 Renesas Technology Corp 半導体装置およびその製造方法
US7495335B2 (en) 2005-05-16 2009-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of reducing process steps in metal line protective structure formation
US7485544B2 (en) 2006-08-02 2009-02-03 Micron Technology, Inc. Strained semiconductor, devices and systems and methods of formation
US7968460B2 (en) * 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
WO2010035379A1 (ja) * 2008-09-26 2010-04-01 パナソニック株式会社 半導体装置及びその製造方法
JP2010080750A (ja) * 2008-09-26 2010-04-08 Panasonic Corp 半導体装置及びその製造方法
US20100301398A1 (en) 2009-05-29 2010-12-02 Ion Torrent Systems Incorporated Methods and apparatus for measuring analytes
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US8299583B2 (en) 2009-03-05 2012-10-30 International Business Machines Corporation Two-sided semiconductor structure
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8264066B2 (en) * 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
US8264065B2 (en) 2009-10-23 2012-09-11 Synopsys, Inc. ESD/antenna diodes for through-silicon vias
KR20120000748A (ko) 2010-06-28 2012-01-04 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8338939B2 (en) * 2010-07-12 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation processes using TSV-last approach
US8951498B2 (en) * 2010-07-30 2015-02-10 University Of Iowa Research Foundation Synthesis of hierarchical nanocrystalline zeolites with controlled particle size and mesoporosity
US8659152B2 (en) * 2010-09-15 2014-02-25 Osamu Fujita Semiconductor device
US8847400B2 (en) * 2010-09-15 2014-09-30 Ps4 Luxco S.A.R.L. Semiconductor device, method for manufacturing the same, and data processing device
KR20120030782A (ko) 2010-09-20 2012-03-29 삼성전자주식회사 저유전 물질을 이용한 쓰루 실리콘 비아(tsv) 형성방법
US8466061B2 (en) * 2010-09-23 2013-06-18 Infineon Technologies Ag Method for forming a through via in a semiconductor element and semiconductor element comprising the same
JP2012164702A (ja) * 2011-02-03 2012-08-30 Elpida Memory Inc 半導体装置
US9177893B2 (en) * 2011-05-17 2015-11-03 Infineon Technologies Ag Semiconductor component with a front side and a back side metallization layer and manufacturing method thereof
CN102856246B (zh) 2011-06-27 2014-10-29 中芯国际集成电路制造(北京)有限公司 制造半导体器件的方法和半导体器件
US20130119543A1 (en) * 2011-11-16 2013-05-16 Globalfoundries Singapore Pte. Ltd. Through silicon via for stacked wafer connections
US8592279B2 (en) * 2011-12-15 2013-11-26 Semicondcutor Components Industries, LLC Electronic device including a tapered trench and a conductive structure therein and a process of forming the same
US20130200519A1 (en) * 2012-02-02 2013-08-08 Ji Feng Through silicon via structure and method of fabricating the same
US8624324B1 (en) 2012-08-10 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Connecting through vias to devices

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090191708A1 (en) * 2008-01-30 2009-07-30 Kropewnicki Thomas J Method for forming a through silicon via layout
US7960282B2 (en) * 2009-05-21 2011-06-14 Globalfoundries Singapore Pte. Ltd. Method of manufacture an integrated circuit system with through silicon via
CN101924096A (zh) * 2009-06-12 2010-12-22 台湾积体电路制造股份有限公司 硅通孔结构及其形成工艺
US20110254165A1 (en) * 2010-04-19 2011-10-20 Renesas Electronics Corporation Semiconductor integrated circuit device and production method thereof

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103579186A (zh) * 2012-08-10 2014-02-12 台湾积体电路制造股份有限公司 连接通孔至器件
CN104752323A (zh) * 2013-12-27 2015-07-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法
CN104752323B (zh) * 2013-12-27 2018-03-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法
CN107924947A (zh) * 2015-09-25 2018-04-17 英特尔公司 用于器件两侧的金属的背面触点结构和制造
CN107768305A (zh) * 2016-08-17 2018-03-06 三星电子株式会社 器件及其制造方法
CN107768305B (zh) * 2016-08-17 2023-11-21 三星电子株式会社 半导体器件及其制造方法
US10157774B1 (en) 2017-07-25 2018-12-18 Globalfoundries Inc. Contact scheme for landing on different contact area levels
TWI646643B (zh) * 2017-07-25 2019-01-01 美商格芯(美國)集成電路科技有限公司 用於著陸在不同接觸區階層的接觸方案
CN109300876A (zh) * 2017-07-25 2019-02-01 格芯公司 位于不同接触区域层级上的接触方案
CN111883541A (zh) * 2020-06-30 2020-11-03 复旦大学 一种用于三维封装的soi有源转接板及其制备方法
WO2022000433A1 (zh) * 2020-06-30 2022-01-06 复旦大学 一种用于三维封装的soi有源转接板及其制备方法
US11881442B2 (en) 2020-06-30 2024-01-23 Shanghai integrated circuit manufacturing Innovation Center Co., Ltd. SOI active transfer board for three-dimensional packaging and preparation method thereof

Also Published As

Publication number Publication date
TW201344918A (zh) 2013-11-01
US8803292B2 (en) 2014-08-12
KR20130121654A (ko) 2013-11-06
CN103378033B (zh) 2016-01-20
US10049965B2 (en) 2018-08-14
US20130285125A1 (en) 2013-10-31
TWI503981B (zh) 2015-10-11
US10504776B2 (en) 2019-12-10
US20140319587A1 (en) 2014-10-30
US20180337112A1 (en) 2018-11-22
KR101412828B1 (ko) 2014-06-27

Similar Documents

Publication Publication Date Title
CN103378033B (zh) 衬底通孔及其形成方法
US9847256B2 (en) Methods for forming a device having a capped through-substrate via structure
US11728296B2 (en) Interconnect structure and method of forming same
CN102820280B (zh) 用于集成电路的非分层式金属层
US9831140B2 (en) Wafer having pad structure
US20100323478A1 (en) Method for fabricating through-silicon via structure
US8481425B2 (en) Method for fabricating through-silicon via structure
CN108183087B (zh) 用于形成应力降低装置的方法
US20220223498A1 (en) Backside or frontside through substrate via (tsv) landing on metal
US11373918B2 (en) Planar passivation layers
US11387167B2 (en) Semiconductor structure and manufacturing method for the same
US10276530B2 (en) Laterally extended conductive bump buffer
TWI459507B (zh) 一種製作矽貫通電極的方法
CN114914201A (zh) 集成电路结构与其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant