CN101924096A - 硅通孔结构及其形成工艺 - Google Patents

硅通孔结构及其形成工艺 Download PDF

Info

Publication number
CN101924096A
CN101924096A CN201010203858XA CN201010203858A CN101924096A CN 101924096 A CN101924096 A CN 101924096A CN 201010203858X A CN201010203858X A CN 201010203858XA CN 201010203858 A CN201010203858 A CN 201010203858A CN 101924096 A CN101924096 A CN 101924096A
Authority
CN
China
Prior art keywords
layer
copper
semiconductor substrate
metal
seed layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201010203858XA
Other languages
English (en)
Other versions
CN101924096B (zh
Inventor
吴文进
林咏淇
邱文智
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101924096A publication Critical patent/CN101924096A/zh
Application granted granted Critical
Publication of CN101924096B publication Critical patent/CN101924096B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05573Single external layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00013Fully indexed content
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01012Magnesium [Mg]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01025Manganese [Mn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19042Component type being an inductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了一种硅通孔(TSV)结构及其形成工艺。半导体衬底具有前表面和后表面,并且TSV结构被形成为延伸穿过半导体衬底。TSV结构包括金属层、围绕金属层的金属晶种层、围绕金属晶种层的阻挡层以及形成在金属层和金属晶种层之间夹置的部分中的金属硅化物层。

Description

硅通孔结构及其形成工艺
相关申请的交叉参考
本申请要求于2009年6月12日提交的美国临时专利申请No.61/186,575的优先权,其全部内容结合于此作为参考。
技术领域
本发明涉及堆叠集成电路,尤其涉及用于三维堆叠技术的硅通孔(through-silicon via)工艺。
背景技术
三维(3D)晶圆-晶圆、管芯-晶圆或者管芯-管芯垂直堆叠技术设法实现垂直堆叠多层有源IC器件(诸如,处理器、可编程器件和存储器件)以缩短平均线长度,从而减小互连RC延迟并提高系统性能的期待已久的目标。在单个晶圆上或者在管芯-晶圆垂直堆叠中的3D互连的一个主要挑战是硅通孔(TSV)为高阻抗信号提供了从晶圆的一侧穿到另一侧的信号路径。硅通孔(TSV)通常被制造成提供填充有导电材料的硅通孔,其中,导电材料完全通过该层以与其他TSV和多个接合层的导体接触并连接。通常,铜已经成为为金属化TSV所选择的金属,这是因为铜具有比最常用的金属更低的电阻率和更高的载流容量。这些特性对于支持在高集成水平和提高的器件速度下经历的更高电流密度来说是重要的。而且,铜具有良好的热导率并且在高纯态下可用。之前尝试利用具有大于3∶1的高纵横比的TSV进行填充,然而,通常生产出存在缺陷的TSV,诸如在导电插塞中产生空隙(void)或缝隙(seam)。空隙或缝隙在电子器件的制造期间会引起一系列问题。可靠地生产TSV是三维堆叠技术的关键技术之一。因此,目前正在进行大量努力针对无空隙部件的形成。
发明内容
根据本发明的一个方面,提供了一种器件,包括:半导体衬底,具有前表面和后表面,并包括形成在前表面上的集成电路(IC)组件;层间电介质(ILD)层,被形成为上覆半导体衬底的所述前表面;接触插塞,形成在ILD层中,并且电连接至IC组件;以及通孔结构,形成在ILD层中,并且延伸穿过半导体衬底,其中,通孔结构包括金属层、围绕金属层的金属晶种层、围绕金属晶种层的阻挡层以及夹置在金属层的至少一部分和金属晶种层的至少一部分之间的金属硅化物层。
优选地,金属硅化物层包括铜;或者金属层包括铜,并且金属晶种层包括铜;或者阻挡层包括TaN、Ta、TiN、Ti或它们的组合。
优选地,通孔结构包括围绕阻挡层的钝化层,其中,钝化层包括硅氧化物。
优选地,通孔结构包括在半导体衬底的后表面上露出的端部。
此外,该器件还包括:半导体组件,堆叠在半导体衬底的后表面上并且电连接至通孔结构。
根据本发明的另一方面,提供了一种半导体器件,包括:半导体衬底,具有前表面和后表面,并包括形成在前表面上的集成电路(IC)组件;层间电介质(ILD)层,被形成为上覆半导体衬底的前表面;接触插塞,形成在ILD层中,并且电连接至IC组件;以及通孔结构,形成在ILD层中,并且延伸穿过半导体衬底;其中,通孔结构包括铜层、围绕铜层的铜晶种层、围绕铜晶种层的阻挡层以及夹置在铜层的至少一部分和铜晶种层的至少一部分之间的铜硅化物层;以及其中,通孔结构包括在半导体衬底的后表面上露出的端部。
优选地,阻挡层包括TaN、Ta、TiN、Ti或它们的组合。
该半导体器件还包括:围绕阻挡层的钝化层,其中,钝化层包括硅氧化物。
该半导体器件还包括:背侧介电层,被形成为上覆所述半导体衬底的后表面;以及外部接触,形成在背侧介电层上,其中,外部接触包括焊锡凸块、含铜凸块或它们的组合。
根据本发明的又一方面,提供了一种工艺,包括:形成从半导体衬底的前表面延伸到半导体衬底的至少一部分的开口,其中,开口具有大于5的纵横比;在开口中形成金属晶种层,其中,金属晶种层包括与开口的侧壁部分邻近的侧壁部分和与开口的底部邻近的底部部分;在金属晶种层的侧壁部分的至少一部分上形成金属硅化物层;以及在金属硅化物层和金属晶种层上电镀金属层,以填充开口。
优选地,金属硅化物层未形成在金属晶种层的底部部分上。
优选地,金属晶种层、金属硅化物层和金属层中的每一个都包括铜。
此外,该工艺还包括:在形成金属晶种层之前,形成对开口加衬的阻挡层;在形成阻挡层之前,形成对开口加衬的钝化层。
此外,该工艺还包括:对半导体衬底的后表面执行薄化工艺以露出金属层。
附图说明
本发明的上述目标、特征和优点将通过参照以下结合附图对实施例的详细描述而变得显而易见,其中:
图1至图7是示出TSV工艺的示例性实施例的截面图;以及
图8至图10是示出使用TSV结构的三维堆叠工艺的示例性实施例的截面图。
具体实施方式
实施例提供了用于填充高纵横比开口的金属化工艺和使用该工艺形成以避免在其中生成缝隙或空隙缺陷的结构。术语“纵横比”用于描述形成在金属层中的任何开口的高度-宽度比。本公开中的术语“高纵横比”是指开口的高度-宽度比大于5。金属化工艺的实施例还可以应用于硅通孔(TSV)结构的形成。如贯穿本公开所使用的,术语“硅通孔(TSV)”是指填充有导电材料的开口,其中,导电材料穿过半导体衬底或含硅衬底的至少一部分。实施例提供了在形成TSV的过程中使用铜金属化以及使用铜电镀技术来填充高纵横比开口,以避免缝隙或空隙缺陷。如贯穿本公开所采用的,铜(Cu)是指包含元素Cu以及充分显示出Cu的电特性的Cu基合金。
以下详细参考本发明的实施例,其实例在附图中示出。在尽可能的情况下,在附图和说明书中使用相同参考标号表示相同或相似的部分。在附图中,为了清楚和方便,一个实施例的形状和厚度可以被放大。根据本发明,本说明书将特别用于形成装置的一部分或更直接地与装置协作的元件。应该明白,没有具体示出或描述的元件可以采用本领域技术人员已知的多种形式。而且,当一层被称为在另一层之上或在衬底“之上”时,其可以直接在另一层之上或衬底之上,或者还可以出现中间层。
这里,图1至图7的截面图示出了TSV工艺的示例性实施例,图8至图10的截面图示出了使用TSV结构的三维堆叠工艺的示例性实施例。
现在参考图1,其是晶圆100的截面图,晶圆100包括半导体衬底10、由衬底10处理得到的IC组件200、上覆在半导体衬底10上的层间电介质(ILD)层12以及形成在ILD层12中与IC组件200电连接的接触插塞14。具体地,衬底10通常为硅(Si),例如,具有或不具有外延层的硅衬底,或者包含绝缘埋层的绝缘体上硅衬底。衬底10具有前表面10a(例如,电路侧)和后表面10b(例如,非电路侧)。形成在衬底10的前表面10a中和/或上的IC组件200可包括多个单独的电路元件,诸如晶体管、二极管、电阻器、电容器、电感器和/或通过多种集成电路制造工艺形成的其他有源和无源半导体器件。ILD层12形成在衬底10上,以使IC组件200与随后形成的互连结构隔离。ILD层12可以是单层或多层结构。在一些实施例中,ILD层12可以是通过热CVD工艺或高密度等离子体(HDP)工艺由掺杂或未掺杂的硅氧化物形成的硅氧化物包含层,例如,未掺杂的硅酸盐玻璃(USG)、掺磷硅酸盐玻璃(PSG)或硼磷硅玻璃(BPSG)。在一些可选实施例中,ILD层12可以由掺杂的或掺杂P的旋涂玻璃(SOG)、PTEOS或BPTEOS形成。接下来执行干蚀刻工艺,在ILD层12中形成接触孔,并且沉积导电材料层以填充接触孔,形成接触插塞14。接触插塞14可包括钨、含钨合金、铜、含铜合金或它们的组合。
参考图2,工艺前进至在衬底10中形成具有大于5的高纵横比的开口18。在形成TSV结构的实施例中,开口18为TSV开口,其中将执行金属化工艺。在限定TSV开口18的过程中,硬膜层16形成在ILD层12上,之后在其上形成图案化的光刻胶层。硬膜层16可以为氮化硅层、氮氧化硅层等。图中未示出的光刻胶层通过曝光、烘焙、显影和/或其他光刻工艺被图案化,以提供露出硬膜层16的开口。然后,使用图案化的光刻胶层作为掩膜元件,通过湿蚀刻或干蚀刻工艺蚀刻露出的硬膜层16,以提供开口。使用硬膜层16和图案化的光刻胶层作为掩膜元件,执行蚀刻工艺以蚀刻露出的衬底10,形成具有侧壁18a和底部18b的TSV开口18。TSV开口18穿过半导体衬底10的至少一部分。在一些实施例中,可使用任何合适的蚀刻方法来蚀刻TSV开口18,例如等离子体蚀刻、化学湿蚀刻、激光钻孔和/或现有技术中已知的其他工艺。在一个实施例中,蚀刻工艺包括深反应离子蚀刻(RIE)工艺以蚀刻半导体衬底10。在一些实施例中,蚀刻工艺可以是使得从前表面10a开始蚀刻TSV开口18以在深度上达到几十微米(μm)至几百微米而不穿过后表面10b。蚀刻工艺可能导致具有垂直侧壁轮廓或锥形侧壁轮廓的开口。在一个实施例中,TSV开口18的深度约为20μm~100μm,直径约为1.5μm~10μm。TSV开口18具有在大约5和大约10之间的高纵横比。在一些实施例中,TSV开口18的纵横比大于10。
在图3中,钝化层20共形地沉积在所得到的结构上,以覆盖硬膜层16并对TSV开口18的侧壁18a和底部18b加衬,从而防止任何导电材料渗漏到晶圆100的电路的任何有源部分中。在一些实施例中,钝化层20可以由硅氧化物、TEOS氧化物、硅氮化物、它们的组合等形成。沉积可以使用多种技术中的任何一种来形成,包括热氧化、LPCVD(低压化学汽相沉积)、APCVD(常压化学汽相沉积)、PECVD(等离子体增强化学汽相沉积)以及未来开发的沉积处理。例如,可以采用利用正硅酸四乙酯(TEOS)和O3的LPCVD或PECVD工艺来形成TEOS氧化膜。
在图4中,然后在钝化层20上形成阻挡层22,对TSV开口18加衬。阻挡层22用作防止金属扩散的扩散势垒并且作为金属和电介质之间的粘结层。在一些实施例中,难熔金属、难熔金属氮化物、难熔金属-硅-氮化物或它们的组合通常被用于阻挡层22。例如,可以使用TaN、Ta、Ti、TiN、TiSiN、WN或它们的组合。在一个实施例中,阻挡层22包括TaN层和Ta层。在另一实施例中,阻挡层22为TiN层。在另一实施例中,阻挡层22为Ti层。随后,金属晶种层24形成在阻挡层22上。在一个实施例中,金属晶种层是可通过物理汽相沉积形成的铜晶种层24。在一些实施例中,使用用于形成铜晶种层24的其他方法(诸如CVD)。
参考图5,在沉积金属晶种层24之后执行硅化物形成工艺,以将至少一部分暴露的表面转换为金属硅化物层26。在一些实施例中,硅化物形成工艺为等离子体辅助金属硅化物形成工艺。在一个实施例中,当沉积铜晶种层24以提供与TSV开口18的侧壁18a相邻的侧壁部分24a、与TSV开口18的底部18b相邻的底部部分24b以及TSV开口18外侧的表面部分24c时,通过等离子体辅助铜硅化物形成工艺在表面部分24c和侧壁部分24a的至少一部分上形成铜硅化物层26。金属硅化物层26可以小于10埃。在以下条件下使用含硅等离子体、SiH4等离子体、Si2H6等离子体、1MS等离子体、2MS等离子体、3MS等离子体或4MS等离子体进行等离子体辅助铜硅化物形成工艺:功率约为10瓦到1000瓦,偏置功率约为0瓦至1000瓦,温度约为10℃度至800℃,时间约为0.1秒至100秒,以及压力约为1毫托至100毫托。
通过控制操作条件,诸如调节等离子体带状电子(sheet electron)的螺旋角分布或调谐等离子体工艺的偏置功率条件,金属硅化物层26可以选择性地形成在金属晶种层24的侧壁部分24a和/或表面部分24c上,而不形成在金属晶种层24的底部部分24b上。侧壁部分24a可以整体或部分起反应,以在其上形成金属硅化物层,而底部部分24b不起反应以在其上不形成铜硅化物层26。图5A示出了使用利用等离子体电子的倾斜螺旋角的等离子体处理28来形成铜硅化物层26的示例性实施例,其使得铜晶种层24的底部部分24b没有铜硅化物层26。图5B示出了使用利用等离子体电子的垂直螺旋角而没有偏置功率的等离子体处理30来形成铜硅化物层26的另一示例性实施例,其使得侧壁部分24a和表面部分24c反应以形成铜硅化物层,使底部部分24b没有铜硅化物层26。
参考图6,晶圆100被转移至电镀工具(诸如电化学电镀(ECP)工具),并且金属层32通过电镀工艺被镀在晶圆100上以填充TSV开口18。虽然在此描述了ECP工艺,但实施例不限于ECP沉积金属。金属层32可包括选自包括但不限于铜和铜基合金的组中的低阻抗导体材料。在一些可选实施例中,金属层可包括多种材料,诸如钨、铝、金、银等。在一个实施例中,金属层32为形成在铜晶种层24之上的含铜层,并且铜硅化物层26夹在它们之间。通过在铜晶种层24的侧壁部分24a上形成铜硅化物层26,铜电镀工艺可以进行得更快,并且自下而上填充TSV开口18。该电镀工艺形成了无空隙金属化结构,以提供用于填充高纵横比开口的具有高生产量的可靠解决方案。
随后,如图7所示,通过蚀刻、化学机械抛光(CMP)等去除TSV开口18外侧的金属层32、金属硅化物层26、金属晶种层24、阻挡层22、钝化层20和/或硬膜层16的多余部分,形成与电介质层12的上表面基本共面的金属填充开口的上表面。现在,晶圆100包括形成在ILD层12中并延伸穿过衬底10的一部分的TSV结构34。TSV结构34包括金属层32、围绕金属层32的金属晶种层24、围绕金属晶种层24的阻挡层22、围绕阻挡层22的钝化层20以及形成在被金属晶种层24和金属层32夹置的部分中的金属硅化物层26。
接下来,对晶圆100执行后段工艺(BEOL)互连技术,以制造如图8所示的包括多个互连层、再分布层、金属层间电介质(IMD)层36和接合接触(bonding contact)38的结构。在一个实施例中,第一层的互连层形成在IMD层中,以与接触插塞14和TSV结构34分别电连接,此后,在第一层的互连层上制造另一层的互连层和IMD层,为了清楚和方便在图中省略这些。上覆完整的顶层互连层和顶层IMD层形成接合接触38。在一些实施例中,铜基导电材料被用于形成互连层和接合接触38。铜基导电材料是指包括高纯元素铜、含不可避免杂质的铜以及含少量元素(诸如,钽、铟、锡、锌、锰、铬、钛、锗、锶、铂、镁、铝或锆)的铜合金。可利用铜BEOL互连来使用标准镶嵌工艺。
参考图9,晶圆100然后经过晶圆薄化工艺和背侧金属化工艺。在一个实施例中,晶圆100被附着至载体,然后将衬底10的后表面10b处理成理想的最终厚度,露出TSV结构34的底端34b。这可以通过例如研磨、蚀刻和/或抛光来进行,从而得到变薄的衬底10”,其具有取决于使用半导体封装目的的预定厚度。变薄的衬底10”可具有约5μm至约180μm的厚度。在示例性实施例中,在晶圆薄化处理之后,TSV结构34的底部34b被露出和/或从变薄衬底10”的后表面10b”伸出。背侧金属化包括将电连接和/或其他结构形成在变薄的衬底10”的后表面10b”上,包括背侧介电层40和用于连接外部管芯或晶圆的接合焊盘42。在图10中,外部管芯或晶圆300被接合到晶圆100上,其中,接合方法包括氧化物-氧化物接合、氧化物-硅接合、铜-铜接合、铜-焊料接合、粘附接合或它们的组合。在一个实施例中,各个半导体芯片的外部接触44可以形成在变薄的衬底10”的后表面10b”上的接合焊盘42上,分别用于接合到电接线端。外部接触44可以为焊锡凸块、含铜凸块或它们的组合。可进一步提供多个连接元件46,以将外部管芯300接合到晶圆100上,从而形成管芯-晶圆堆叠。连接元件可以为焊锡凸块、含铜凸块或它们的组合。在一些实施例中,在切割之后,通过例如各向异性导电连接膜将堆叠的一个芯片或多个芯片安装在IC卡上。
虽然已经在优选实施例中描述了本发明,但本发明不限于在此所披露的详细实施例。本领域技术人员还可以在不脱离本发明的精神和范围的情况下,做出多种改变和修改。从而,本发明的范围将由以下权利要求及其等价物来限定和保护。

Claims (10)

1.一种器件,包括:
半导体衬底,具有前表面和后表面,并包括形成在所述前表面上的集成电路(IC)组件;
层间电介质(ILD)层,被形成为上覆所述半导体衬底的所述前表面;
接触插塞,形成在所述ILD层中,并且电连接至所述IC组件;以及
通孔结构,形成在所述ILD层中,并且延伸穿过所述半导体衬底,其中,所述通孔结构包括金属层、围绕所述金属层的金属晶种层、围绕所述金属晶种层的阻挡层以及夹置在所述金属层的至少一部分和所述金属晶种层的至少一部分之间的金属硅化物层。
2.根据权利要求1所述的器件,其中,所述金属硅化物层包括铜;或者
所述金属层包括铜,并且所述金属晶种层包括铜;或者
所述阻挡层包括TaN、Ta、TiN、Ti或它们的组合。
3.根据权利要求1所述的器件,其中,所述通孔结构包括围绕所述阻挡层的钝化层,其中,所述钝化层包括硅氧化物,或者
所述通孔结构包括在所述半导体衬底的所述后表面上露出的端部。
4.根据权利要求1所述的器件,还包括:半导体组件,堆叠在所述半导体衬底的所述后表面上并且电连接至所述通孔结构。
5.一种半导体器件,包括:
半导体衬底,具有前表面和后表面,并包括形成在所述前表面上的集成电路(IC)组件;
层间电介质(ILD)层,被形成为上覆所述半导体衬底的所述前表面;
接触插塞,形成在所述ILD层中,并且电连接至所述IC组件;以及
通孔结构,形成在所述ILD层中,并且延伸穿过所述半导体衬底;
其中,所述通孔结构包括铜层、围绕所述铜层的铜晶种层、围绕所述铜晶种层的阻挡层以及夹置在所述铜层的至少一部分和所述铜晶种层的至少一部分之间的铜硅化物层;以及
其中,所述通孔结构包括在所述半导体衬底的所述后表面上露出的端部。
6.根据权利要求5所述的半导体器件,其中,所述阻挡层包括TaN、Ta、TiN、Ti或它们的组合,或者
所述半导体器件还包括:围绕所述阻挡层的钝化层,
其中,所述钝化层包括硅氧化物。
7.根据权利要求5所述的半导体器件,还包括:
背侧介电层,被形成为上覆所述半导体衬底的所述后表面;以及
外部接触,形成在所述背侧介电层上,
其中,所述外部接触包括焊锡凸块、含铜凸块或它们的组合。
8.一种工艺,包括:
形成从半导体衬底的前表面延伸到所述半导体衬底的至少一部分的开口,其中,所述开口具有大于5的纵横比;
在所述开口中形成金属晶种层,其中,所述金属晶种层包括与所述开口的侧壁部分邻近的侧壁部分和与所述开口的底部邻近的底部部分;
在所述金属晶种层的所述侧壁部分的至少一部分上形成金属硅化物层;以及
在所述金属硅化物层和所述金属晶种层上电镀金属层,以填充所述开口。
9.根据权利要求8所述的工艺,其中,所述金属硅化物层未形成在所述金属晶种层的所述底部部分上,或者
所述金属晶种层、所述金属硅化物层和所述金属层中的每一个都包括铜。
10.根据权利要求8所述的工艺,还包括:
在形成所述金属晶种层之前,形成对所述开口加衬的阻挡层,并且在形成所述阻挡层之前,形成对所述开口加衬的钝化层;或者
对所述半导体衬底的所述后表面执行薄化工艺以露出所述金属层。
CN201010203858XA 2009-06-12 2010-06-12 硅通孔结构及其形成工艺 Active CN101924096B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US18657509P 2009-06-12 2009-06-12
US61/186,575 2009-06-12
US12/783,973 2010-05-20
US12/783,973 US8432038B2 (en) 2009-06-12 2010-05-20 Through-silicon via structure and a process for forming the same

Publications (2)

Publication Number Publication Date
CN101924096A true CN101924096A (zh) 2010-12-22
CN101924096B CN101924096B (zh) 2012-07-18

Family

ID=43305730

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010203858XA Active CN101924096B (zh) 2009-06-12 2010-06-12 硅通孔结构及其形成工艺

Country Status (2)

Country Link
US (2) US8432038B2 (zh)
CN (1) CN101924096B (zh)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102315164A (zh) * 2011-10-21 2012-01-11 昆山华太电子技术有限公司 一种提高rf-ldmos器件及其集成电路性能的方法
CN102412194A (zh) * 2011-08-08 2012-04-11 上海华虹Nec电子有限公司 硅通孔的制作方法
CN102760691A (zh) * 2011-04-28 2012-10-31 中芯国际集成电路制造(上海)有限公司 硅通孔的形成方法
CN102832200A (zh) * 2011-06-15 2012-12-19 台湾积体电路制造股份有限公司 半导体结构及其形成方法
CN102903669A (zh) * 2011-04-21 2013-01-30 新加坡商格罗方德半导体私人有限公司 用于平坦化硅穿孔的体系
CN103187364A (zh) * 2011-12-31 2013-07-03 中国科学院上海微系统与信息技术研究所 高深宽比深孔的种子层的制备方法
CN103378033A (zh) * 2012-04-27 2013-10-30 台湾积体电路制造股份有限公司 衬底通孔及其形成方法
WO2014015820A1 (zh) * 2012-07-26 2014-01-30 无锡华润上华科技有限公司 一种mos器件的钝化层形成方法以及一种mos器件
CN103681466A (zh) * 2012-09-18 2014-03-26 中芯国际集成电路制造(上海)有限公司 互连结构的制作方法
CN103681573A (zh) * 2012-09-12 2014-03-26 三星电子株式会社 包括过孔结构的集成电路器件及其制造方法
CN104347548A (zh) * 2013-08-02 2015-02-11 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
WO2015035923A1 (en) * 2013-09-11 2015-03-19 International Business Machines Corporation Through-silicon via structure and method for improving beol dielectric performance
CN105393345A (zh) * 2013-05-20 2016-03-09 伊文萨思公司 金属无pvd传导结构
CN105460883A (zh) * 2014-09-05 2016-04-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
CN106449676A (zh) * 2011-07-19 2017-02-22 索尼公司 半导体装置和电子设备
CN108074906A (zh) * 2016-11-15 2018-05-25 日月光半导体制造股份有限公司 包含互连结构的半导体系统及装置封装
CN109273403A (zh) * 2018-09-27 2019-01-25 中国电子科技集团公司第五十四研究所 一种tsv填孔方法
CN111199931A (zh) * 2018-11-20 2020-05-26 南亚科技股份有限公司 半导体装置及其制造方法
WO2023279543A1 (zh) * 2021-07-05 2023-01-12 长鑫存储技术有限公司 半导体结构及其制备方法

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8791009B2 (en) 2011-06-07 2014-07-29 International Business Machines Corporation Method of forming a through-silicon via utilizing a metal contact pad in a back-end-of-line wiring level to fill the through-silicon via
DE102011104305A1 (de) * 2011-06-16 2012-12-20 Austriamicrosystems Ag Herstellungsverfahren für ein Halbleiterbauelement mit einer Leiterschicht im Halbleiterkörper und Halbleiterbauelement
US8728934B2 (en) * 2011-06-24 2014-05-20 Tessera, Inc. Systems and methods for producing flat surfaces in interconnect structures
KR101840846B1 (ko) 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
CN103367281B (zh) * 2012-03-31 2016-07-06 南亚科技股份有限公司 具有穿硅通孔与测试电路的半导体结构与其制作方法
WO2013160976A1 (ja) * 2012-04-26 2013-10-31 パナソニック株式会社 半導体装置およびその製造方法
US9123780B2 (en) 2012-12-19 2015-09-01 Invensas Corporation Method and structures for heat dissipating interposers
KR102018885B1 (ko) 2012-12-20 2019-09-05 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US10147642B1 (en) * 2013-04-25 2018-12-04 Macom Technology Solutions Holdings, Inc. Barrier for preventing eutectic break-through in through-substrate vias
CN104396005B (zh) * 2013-06-27 2018-05-29 英特尔Ip公司 用于电子系统的高电导率高频通孔
US20150028482A1 (en) * 2013-07-23 2015-01-29 Globalfoundries Inc. Device layout for reducing through-silicon-via stress
US9401323B1 (en) 2015-04-03 2016-07-26 International Business Machines Corporation Protected through semiconductor via (TSV)
US10490483B2 (en) * 2016-03-07 2019-11-26 Micron Technology, Inc. Low capacitance through substrate via structures
US10157785B2 (en) 2017-05-01 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
EP3460835B1 (en) * 2017-09-20 2020-04-01 ams AG Method for manufacturing a semiconductor device and semiconductor device
US11183443B2 (en) * 2019-06-13 2021-11-23 Nanya Technology Corporation Semiconductor structure and method for manufacturing the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214731B1 (en) * 1998-03-25 2001-04-10 Advanced Micro Devices, Inc. Copper metalization with improved electromigration resistance
CN1397993A (zh) * 2001-06-19 2003-02-19 联华电子股份有限公司 栓塞金属层的形成方法
US20050142842A1 (en) * 2003-12-31 2005-06-30 Dongbuanam Semiconductor, Inc. Method for forming metal wiring of semiconductor device

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05211239A (ja) * 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
DE4314907C1 (de) * 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5391917A (en) * 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US6882030B2 (en) * 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
EP2270845A3 (en) * 1996-10-29 2013-04-03 Invensas Corporation Integrated circuits and methods for their fabrication
US6037822A (en) * 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) * 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
JP3532788B2 (ja) * 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6322903B1 (en) * 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
TW465122B (en) * 1999-12-15 2001-11-21 Semiconductor Energy Lab Light-emitting device
US6444576B1 (en) * 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6881665B1 (en) * 2000-08-09 2005-04-19 Advanced Micro Devices, Inc. Depth of focus (DOF) for trench-first-via-last (TFVL) damascene processing with hard mask and low viscosity photoresist
US6548395B1 (en) * 2000-11-16 2003-04-15 Advanced Micro Devices, Inc. Method of promoting void free copper interconnects
US6599778B2 (en) * 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
EP1472730A4 (en) * 2002-01-16 2010-04-14 Mann Alfred E Found Scient Res HOUSING FOR ELECTRONIC CIRCUITS WITH REDUCED SIZE
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6867135B1 (en) * 2002-04-19 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via bottom copper/barrier interface improvement to resolve via electromigration and stress migration
US6800930B2 (en) * 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US7030481B2 (en) * 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US6841883B1 (en) * 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6897148B2 (en) * 2003-04-09 2005-05-24 Tru-Si Technologies, Inc. Electroplating and electroless plating of conductive materials into openings, and structures obtained thereby
US6924551B2 (en) * 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US7111149B2 (en) * 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
TWI251313B (en) * 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7335972B2 (en) * 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7049170B2 (en) * 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
JP4467318B2 (ja) * 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法
US7268065B2 (en) * 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7262495B2 (en) * 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US7297574B2 (en) * 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US7892972B2 (en) * 2006-02-03 2011-02-22 Micron Technology, Inc. Methods for fabricating and filling conductive vias and conductive vias so formed
US20080113508A1 (en) * 2006-11-13 2008-05-15 Akolkar Rohan N Method of fabricating metal interconnects using a sacrificial layer to protect seed layer prior to gap fill
US20080113505A1 (en) * 2006-11-13 2008-05-15 Sparks Terry G Method of forming a through-substrate via
JP2008305938A (ja) * 2007-06-07 2008-12-18 Toshiba Corp 半導体装置および半導体装置の製造方法
TWI351765B (en) * 2007-08-29 2011-11-01 Au Optronics Corp Display element and method of manufacturing the sa
US8236508B2 (en) * 2008-01-29 2012-08-07 Drexel University Detecting and measuring live pathogens utilizing a mass detection device
JP2009231497A (ja) 2008-03-21 2009-10-08 Toshiba Corp 半導体装置及び半導体装置の製造方法
US7772123B2 (en) * 2008-06-06 2010-08-10 Infineon Technologies Ag Through substrate via semiconductor components
US8097955B2 (en) * 2008-10-15 2012-01-17 Qimonda Ag Interconnect structures and methods
US7964502B2 (en) * 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214731B1 (en) * 1998-03-25 2001-04-10 Advanced Micro Devices, Inc. Copper metalization with improved electromigration resistance
CN1397993A (zh) * 2001-06-19 2003-02-19 联华电子股份有限公司 栓塞金属层的形成方法
US20050142842A1 (en) * 2003-12-31 2005-06-30 Dongbuanam Semiconductor, Inc. Method for forming metal wiring of semiconductor device

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102903669B (zh) * 2011-04-21 2016-03-30 新加坡商格罗方德半导体私人有限公司 用于平坦化硅穿孔的体系
CN102903669A (zh) * 2011-04-21 2013-01-30 新加坡商格罗方德半导体私人有限公司 用于平坦化硅穿孔的体系
US8563432B2 (en) 2011-04-28 2013-10-22 Semiconductor Manufacturing International Corp. Method for forming through silicon via structure
CN102760691B (zh) * 2011-04-28 2014-11-05 中芯国际集成电路制造(上海)有限公司 硅通孔的形成方法
CN102760691A (zh) * 2011-04-28 2012-10-31 中芯国际集成电路制造(上海)有限公司 硅通孔的形成方法
CN102832200A (zh) * 2011-06-15 2012-12-19 台湾积体电路制造股份有限公司 半导体结构及其形成方法
CN102832200B (zh) * 2011-06-15 2016-01-20 台湾积体电路制造股份有限公司 半导体结构及其形成方法
CN106449676A (zh) * 2011-07-19 2017-02-22 索尼公司 半导体装置和电子设备
CN102412194B (zh) * 2011-08-08 2015-04-08 上海华虹宏力半导体制造有限公司 硅通孔的制作方法
CN102412194A (zh) * 2011-08-08 2012-04-11 上海华虹Nec电子有限公司 硅通孔的制作方法
CN102315164A (zh) * 2011-10-21 2012-01-11 昆山华太电子技术有限公司 一种提高rf-ldmos器件及其集成电路性能的方法
CN103187364A (zh) * 2011-12-31 2013-07-03 中国科学院上海微系统与信息技术研究所 高深宽比深孔的种子层的制备方法
CN103187364B (zh) * 2011-12-31 2014-11-12 中国科学院上海微系统与信息技术研究所 高深宽比深孔的种子层的制备方法
CN103378033A (zh) * 2012-04-27 2013-10-30 台湾积体电路制造股份有限公司 衬底通孔及其形成方法
CN103378033B (zh) * 2012-04-27 2016-01-20 台湾积体电路制造股份有限公司 衬底通孔及其形成方法
WO2014015820A1 (zh) * 2012-07-26 2014-01-30 无锡华润上华科技有限公司 一种mos器件的钝化层形成方法以及一种mos器件
CN103681573A (zh) * 2012-09-12 2014-03-26 三星电子株式会社 包括过孔结构的集成电路器件及其制造方法
CN103681573B (zh) * 2012-09-12 2018-06-08 三星电子株式会社 包括过孔结构的集成电路器件及其制造方法
CN103681466B (zh) * 2012-09-18 2016-03-16 中芯国际集成电路制造(上海)有限公司 互连结构的制作方法
CN103681466A (zh) * 2012-09-18 2014-03-26 中芯国际集成电路制造(上海)有限公司 互连结构的制作方法
CN105393345B (zh) * 2013-05-20 2018-06-22 伊文萨思公司 金属无pvd传导结构
CN105393345A (zh) * 2013-05-20 2016-03-09 伊文萨思公司 金属无pvd传导结构
CN104347548A (zh) * 2013-08-02 2015-02-11 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
WO2015035923A1 (en) * 2013-09-11 2015-03-19 International Business Machines Corporation Through-silicon via structure and method for improving beol dielectric performance
CN105460883A (zh) * 2014-09-05 2016-04-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
CN105460883B (zh) * 2014-09-05 2017-11-14 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
CN108074906A (zh) * 2016-11-15 2018-05-25 日月光半导体制造股份有限公司 包含互连结构的半导体系统及装置封装
CN109273403A (zh) * 2018-09-27 2019-01-25 中国电子科技集团公司第五十四研究所 一种tsv填孔方法
CN109273403B (zh) * 2018-09-27 2021-04-20 中国电子科技集团公司第五十四研究所 一种tsv填孔方法
CN111199931A (zh) * 2018-11-20 2020-05-26 南亚科技股份有限公司 半导体装置及其制造方法
US10734308B2 (en) 2018-11-20 2020-08-04 Nanya Technology Corporation Semiconductor device and method for manufacturing the same
TWI701742B (zh) * 2018-11-20 2020-08-11 南亞科技股份有限公司 半導體裝置及其製造方法
WO2023279543A1 (zh) * 2021-07-05 2023-01-12 长鑫存储技术有限公司 半导体结构及其制备方法

Also Published As

Publication number Publication date
US8846523B2 (en) 2014-09-30
US8432038B2 (en) 2013-04-30
US20100314758A1 (en) 2010-12-16
US20130224909A1 (en) 2013-08-29
CN101924096B (zh) 2012-07-18

Similar Documents

Publication Publication Date Title
CN101924096B (zh) 硅通孔结构及其形成工艺
TWI544597B (zh) 積體電路元件以及半導體製程
US8486823B2 (en) Methods of forming through via
US8415804B2 (en) Semiconductor chip, method of fabricating the same, and stack module and memory card including the same
TWI427739B (zh) 矽穿孔的形成方法
JP5271985B2 (ja) 集積回路構造
USRE47709E1 (en) Forming grounded through-silicon vias in a semiconductor substrate
US8592310B2 (en) Methods of manufacturing a semiconductor device
US6984895B2 (en) Bonding pad structure of a semiconductor device
US20150097273A1 (en) Method and structure of forming backside through silicon via connections
US8034711B2 (en) Bonding structure and fabrication thereof
KR20120000748A (ko) 반도체 소자 및 그 제조 방법
JP2014517547A (ja) 集積回路構造、集積回路、および堅牢なtsv構造を形成する方法
US20130009305A1 (en) Semiconductor device and method of manufacturing the same
JP2004312007A (ja) 金属−絶縁体−金属キャパシタを含む二重ダマシン配線構造及びその製造方法
US20140353820A1 (en) Semiconductor device and method for fabricating the same
KR20150057787A (ko) 관통전극을 갖는 반도체 소자 및 그 제조방법
US6974770B2 (en) Self-aligned mask to reduce cell layout area
KR20150019089A (ko) 관통전극을 갖는 반도체 소자 및 그 제조방법
US11315904B2 (en) Semiconductor assembly and method of manufacturing the same
CN101635275A (zh) 半导体器件、半导体芯片及它们的制造方法和叠层封装
TW201101424A (en) Method for fabricating through-silicon via structure

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant