JP2014517547A - 集積回路構造、集積回路、および堅牢なtsv構造を形成する方法 - Google Patents

集積回路構造、集積回路、および堅牢なtsv構造を形成する方法 Download PDF

Info

Publication number
JP2014517547A
JP2014517547A JP2014517076A JP2014517076A JP2014517547A JP 2014517547 A JP2014517547 A JP 2014517547A JP 2014517076 A JP2014517076 A JP 2014517076A JP 2014517076 A JP2014517076 A JP 2014517076A JP 2014517547 A JP2014517547 A JP 2014517547A
Authority
JP
Japan
Prior art keywords
microns
substrate
trench
dielectric layer
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2014517076A
Other languages
English (en)
Inventor
アンドリー、ポール、エス
ファルーク、ムクタ、ジー
ハノン、ロバート
イエール、スブラマニアン、エス
キンザー、エミリー、アール
ツァン、コーネリア、ケイ
ヴォラン、リチャード、ピー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2014517547A publication Critical patent/JP2014517547A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/782Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, each consisting of a single circuit element
    • H01L21/786Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, each consisting of a single circuit element the substrate being other than a semiconductor body, e.g. insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

【課題】集積回路内に熱機械的に信頼性がある銅の基板貫通ビア(TSV)を形成する方法を提供する。
【解決手段】TSVは半導体基板を貫通して延在する環状トレンチを構成する。基板はトレンチの内側側壁および外側側壁を画定し、側壁は5ミクロン〜10ミクロンの範囲内の距離だけ分離される。銅又は銅合金を含む導電性経路が、前記第1の誘電体層の上面から前記基板を貫通して前記トレンチ内に延在する。基板厚は60ミクロン以下とすることができる。導電性経路に導通可能に接続されるインターコネクト・メタライゼーションを有する誘電体層が前記環状トレンチの上方に直接形成される。
【選択図】図3

Description

本発明は一般には集積回路内の基板貫通ビア(TSV:through substrate via)に関し、より詳細には、垂直に積み重ねられた少なくとも2つの基板を有する3次元集積回路におけるTSVに関する。
集積回路の性能および機能を改善し続けるために、産業界は最近になって、3次元(3D)スタッキング技術として一般的に知られている、半導体デバイス・チップを垂直に集積できるようにする技術を開発しつつある。積み重ねられた基板は完全なウエハまたは部分的なウエハとすることができ、各ウエハは通常複数のチップを有する。3Dスタックは、接合後にダイシングして、ユニットを切り離すことができ、各ユニットは垂直に接合された2つ以上のチップを有する。通常、半導体チップは、半導体基板上に構築された集積回路(例えば、プロセッサ、プログラマブル・デバイス、メモリ・デバイス等)の幾つかの層を含む。接合されたスタックの上層は、基板貫通インターコネクトまたはビア(TSV)を利用して、スタックの底層に接続することができる。TSVの形成は、個別の課題として認識されている(例えば、Dukovic, et. al., Through-Silicon-Via Technology for 3D Integrationを参照されたい)。
数ある問題の中で特に、半導体基板を貫通して延在するビアは、一般的に高いアスペクト比を有する必要がある。基板の残りの部分を損傷することなく、そのような深い機構を形成し、その後、深い機構内に基板から電気的に絶縁された導電性経路を形成することは極めて難しい。基板に穴をエッチングし、その後、基板を非常に高い温度にさらすことが提案されており、それにより、露出した表面全体にわたって、信頼性のある絶縁層である酸化物層が形成される。そのような温度はCMOS BEOL(バック・エンド・オブ・ライン(back-end-of-the-line))処理には適合しないので、そのように酸化物層を形成するのは、「ビア・ファースト」方式において、任意の半導体デバイス(FEOL)またはインターコネクト配線(BEOL)が形成される前に行われなければならない(Andry他による米国特許出願公開第2010/0032764号を参照されたい)。TSVには、導電性の高さから銅が好ましい。しかしながら、「ビア・ファースト」方式は銅ビアを用いる場合に問題となる。なぜなら、基板内への銅マイグレーションの結果として、半導体デバイスが極めて損傷を受けやすいためである。
銅は、バック・エンドまたは「ビア・ミドル」処理とは相対的に相性はよいが、TSVの銅と周囲の材料との間の熱膨張の不一致が過剰な熱応力を生み出し、亀裂を引き起こす。Edelstein他による米国特許第7,276,787号(「‘787」)は、環状TSVを利用することによってこの問題に対処することを示唆する。具体的には、‘787は、大きな穴をエッチングし、その穴を充填することなく、側壁に一連の層(例えば、電気絶縁体、種々の障壁層、導電層および更なる分離層)を形成することを教示する。最後に、構造全体が基板のCTEおよび弾性係数と厳密に一致する実効的なCTEを有するように、基板に類似の熱特性を有するように選択された材料によって穴のコアを充填することができる。
しかしながら、環状銅TSVであっても、CMOS BEOL処理中に熱サイクルにかけるときに突出する傾向がある(Cho, “Technical Challenges in TSVIntegration”を参照されたい)。TSVによる突出は、上に重なるメタライゼーション層に応力を加え、内蔵されるいずれかのインターコネクト配線を弱めるか、または短絡する可能性がある。Choはインターコネクト・メタライゼーションの形成(BEOL)のためにプロセス温度にさらされることから生じる銅TSVの突出を示すSEM写真を提供する。そのような突出によって引き起こされる損傷が図1および図2に示される。図1は、固体TSV110の銅コアがパッシベーション層102のCMP済み表面104の上方に突出しており、上に重なる層120を持ち上げ、その中に内蔵されるインターコネクト配線122に応力を加えることを示す。図2は、内部コアを貫通する亀裂105、および環状銅TSV130の下内側角から生じる亀裂106を示す。Choは、ビアを最後に形成することによって、銅突出を最小限に抑えることを提言する。
「ビア・ラスト」処理は一般的に銅突出を回避するだけの十分に低い温度において進行するが、「ビア・ラスト」は全てのBEOL層を通してTSVフットプリント全体を消費し、プロセス統合およびチップ設計のための効率を著しく低下させる。BEOL処理中に形成することができる信頼性のある銅TSVを形成する技法を見つけられれば、非常に有利であろう。
米国特許出願公開第2010/0032764号 米国特許第7,276,787号
Dukovic, et. al.,Through-Silicon-Via Technology for 3D Integration Cho, "TechnicalChallenges in TSV Integration"
本発明は、集積回路にTSV構造を形成する方法を提供する。
本発明の一態様では、TSV構造が提供され、そのTSVはBEOL処理中に形成することができ、更なるBEOL処理を施すことに耐えることができる。
本発明の一実施形態では、TSVを含む集積回路構造が提供される。その構造は、上面に形成される少なくとも1つの半導体デバイス、およびそのような上面上に堆積される第1の誘電体層を有する基板を含む。TSVは環状トレンチを構成し、その環状トレンチは基板および第1の誘電体層を貫通して延在し、基板はトレンチの内側側壁および外側側壁を画定し、それらの側壁は、5ミクロン〜10ミクロンの範囲内の距離だけ分離される。銅および銅合金を含む導電性経路が、前記第1の誘電体層の上側面から前記基板を貫通して前記トレンチ内に延在し、トレンチは90ミクロン以下の厚みを有することができる。導電性経路に導通可能に接続されるインターコネクト・メタライゼーションを有する第2の誘電体層が前記第1の誘電体層上に直接形成され、前記環状トレンチを覆う。トレンチの内径は4ミクロン〜9ミクロンの範囲内とすることができる。側壁分離は、5.5ミクロン〜9ミクロンの範囲内とすることができ、トレンチの内径は5ミクロン〜8ミクロンの範囲内とすることができる。側壁はわずかな傾斜を有することができ、その傾斜は前記上面に対して85度〜90度内とすることができ、87度〜90度の範囲であることが好ましい。導電性経路は、2ミクロンよりも大きな平均粒径を有することができる。0.4ミクロン〜1.5ミクロンの範囲内の厚みを有する誘電体ライナが導電性経路を基板から分離することができる。
本発明の一実施形態では、集積回路(IC)が提供され、その集積回路は、その上面に形成された少なくとも1つの半導体デバイスを有する半導体基板と、前記上面上にある第1の誘電体層とを含む。ICは更に、第1の誘電体層の上側面から基板の底面まで延在する環状トレンチを含み、そのような環状トレンチは、前記上面において5ミクロン〜8ミクロンの直径を有する基板コアを画定する内側側壁を有し、内側側壁は、前記上面に対して85度〜90度だけ傾けられる。ICは環状トレンチ内に導電性経路を含み、導電性経路は誘電体ライナによって前記半導体基板から分離される。ICは、前記少なくとも1つの半導体デバイスに導通可能に接続されるインターコネクト・メタライゼーションを含み、環状トレンチを覆う第2の誘電体層を含む。複数の実施形態において、導電性経路は銅または銅合金を含み、半導体基板は単結晶シリコンを含む。誘電体ライナは、トレンチの底部付近において、上面付近の厚みの少なくとも50%の厚みを有することができる。誘電体ライナはSACVDおよびPECVDの組み合わせによって形成することができ、5以下の誘電率、すなわち、k値を有することができる。
本発明の別の実施形態によれば、堅牢なTSV構造を形成する方法が提供される。その方法は、基板内に環状トレンチを形成するステップを含み、基板はその上面に形成された少なくとも1つの半導体デバイスを含み、トレンチは、10ミクロン未満だけ分離され90ミクロン以下の深さまで延在する内側側壁および外側側壁を有する。その方法は、前記内側側壁および前記外側側壁を共形誘電体ライナで裏打ちするステップと、前記トレンチを銅または銅合金を含む導電性材料で充填するステップと、前記充填されたトレンチを、少なくとも20分間、350℃より高い温度でアニールするステップとを含む。アニールは、350℃〜430℃の温度において進められ、1時間以上にわたって続くことができる。その方法の実施形態は、前記上面にわたって配置される少なくとも1つのBEOL層を貫通して環状トレンチを形成することと、少なくとも1つのBEOL層上に、かつ前記環状トレンチの上方に第2のBEOL層を形成することとを含むことができる。その方法は、基板の裏面を薄厚化して、前記充填されたトレンチの前記導電性材料を露出させるステップを更に含むことができる。
例示的な実施形態は、機構および動作方法のいずれに関しても、添付の図面とともに取り上げられる以下の詳細な説明を参照することによって最も良く理解することができる。
CMOS処理が施された従来のTSVによって引き起こされる損傷を示す図である。 CMOS処理が施された従来のTSVによって引き起こされる損傷を示す図である。 本開示による環状TSVの垂直断面図である。 本開示によるTSVの詳細図である。 図3のTSVのAAにおける断面図である。 本開示によるTSVの詳細図である。
本開示は、熱機械的に信頼性がある銅TSV、およびBEOL処理中にそのようなTSVを形成する技法を提供し、ここで添付の図面を参照しながら詳細に説明される。種々の実施形態にわたって類似の参照番号は類似の要素を指すことに留意されたい。図面は必ずしも縮尺通りに描かれていない。
層、領域または基板のような要素が別の要素「上に」、またはその「上方に」あると言われるとき、その要素は他の要素上に直接存在することができるか、または介在する要素が存在する場合もあることは理解されよう。対照的に、或る要素が別の要素「上に直接存在する」またはその「上方に直接存在する」と言われるとき、介在する要素は存在しない。また、或る要素が別の要素に「接続される」または「接合される」と言われるとき、その要素は、他の要素に直接接続するか、もしくは接合することができるか、または介在する要素が存在する場合があることは理解されよう。対照的に、或る要素が別の要素に「直接接続される」か、または「直接接合される」と言われるとき、介在する要素は存在しない。
言及されたように、本発明はTSV構造と、その信頼性のある形成方法とを特定し、その構造は、周囲の材料に亀裂を生じることなく、CMOS BEOL熱サイクルに耐えることができ、それにより、信頼性のある3D集積回路を提供することができる。
ここで図3を参照すると、初期厚202を有する半導体基板201が示される。1つまたは複数の半導体デバイス(図示せず)を基板の上面211内および上面211上に形成することができる。1つまたは複数の誘電体層210を上面211の上方に堆積することができる。従来のバック・エンド対応のリソグラフィおよびエッチングを利用して、誘電体層210を貫通し、上面211を貫通して、基板201の中まで延在する環状トレンチ230を形成することができる。典型的には、トレンチ230は、異方性反応性イオン・エッチング・ステップとポリマー堆積ステップとを交互に行うことによって進められるボッシュ・エッチングによって形成されるが、本発明はそのようには限定されない。環状トレンチ230は、この時点では、その底端において別の機構と接触しないので、「ブラインド・ビア」と呼ぶことができる。
本明細書において基板は、Si、SiGe、SiGeC、SiC、Ge合金、GaAs、InAs、InPおよび他のIII/VまたはII/VI化合物半導体のような任意の半導体を含むことができる。これらの記載されたタイプの半導電性材料に加えて、本発明は、半導体基板が、Si/SiGe、Si/SiC、シリコン・オン・インシュレータ(SOI)またはシリコン・ゲルマニウム・オン・インシュレータ(SGOI)のような層状半導体である場合も考慮する。更に、基板201は、単結晶、多結晶、非晶質とすることができるか、または単結晶部分、多結晶部分および非晶質部分のうちの少なくとも2つの組み合わせを有することができる。好ましい実施形態では、基板201は単結晶シリコン部分を含む。
誘電体層210は、半導体基板上に直接配置される、例えば、SiOを含むパッシベーション層を含むことができる。場合によっては、誘電体層210は、パッシベーション層の上方に配置される、誘電体材料および導電性材料の組み合わせ212、例えば、多層化されたインターコネクト構造の1つまたは複数のBEOLインターコネクトレベルを含む、1つまたは複数の付加層を含むことができる。誘電体層210は、有機絶縁体(例えば、ポリイミド)、無機絶縁体(例えば、窒化シリコンまたは二酸化シリコン)、SiLK(TM)、ドープまたは非ドープケイ酸塩ガラス、有機ケイ酸塩ガラス、BLoK(TM)、NBLoK(TM)のような低k誘電体、熱硬化性ポリアリーレン・エーテル(化学結合、縮合環、または、例えば、酸素、硫黄、スルホン、スルホキシド、カルボニル等の不活性連結基によって互いに連結されるアリール部分または不活性置換アリール部分を指している)、または基板上に堆積もしくは形成することができる任意の他のタイプの誘電体材料のような、当該技術分野において既知の任意の誘電体材料のうちの1つまたはそれらの組み合わせによって形成することができる。複数の実施形態において、誘電体材料は5以下のk値を有する。
図3を再び参照すると、環状トレンチ230の長さ204は基板201の初期厚202よりも短い。長さ204は90ミクロン未満とすることができ、60ミクロン以下の範囲内にあることが好ましい。上面211において、環状トレンチの幅203、すなわち、内側側壁206および外側側壁205の分離は、5ミクロン〜10ミクロンの範囲内にある。上面211における環状トレンチの内側側壁および外側側壁は、5.5ミクロン〜9ミクロンの範囲内の距離だけ分離されることが好ましい。
環状トレンチ230を形成するエッチング・プロセスは、名目的には真っすぐな側壁を形成することができるが、側壁は実際には波形の輪郭を有する場合があり、各「波形部分」はボッシュ・プロセスの単一のエッチング/ポリマー堆積サイクルに対応する。側壁表面に沿った高さ変動または「粗さ」は0ミクロン〜0.5ミクロンのような最低限の大きさであることが好ましい。より詳細には、単一の波形部分の所与の垂直長「S」の場合に、トレンチの幅は、10パーセント未満、すなわち、0.2×S以下だけ異なる(両方の側壁において10パーセントばらつくことを考慮に入れる)。側壁は上面211に対して垂直にすることができるか、またはわずかに傾けることができる。図4を参照すると、側壁205および206は、概ね平坦な上面211に対して同じ傾斜を有することができるが、205および206が同じ傾斜を有する必要はない。各側壁および上面211は、87度〜90度の範囲内の角度208を形成することが好ましい。本発明の実施形態によれば、側壁205および206は、環状ビア230が深さとともに細くなるように、トレンチの中心に向かって角度を成す。
図5は図3のAAにおける断面図である。環状トレンチ230は内側基板コアを画定し、内側基板コアは、コアが概ね円筒形の形状を構成するように円形断面を有することができる。上面211における基板コアの直径209は、4ミクロン〜9ミクロンの範囲内にあることができ、5ミクロン〜8ミクロンの範囲内にあることが好ましい。上面211における環状トレンチ230の外径219は、18ミクロン〜25ミクロンの範囲内にあることができ、19ミクロン〜23ミクロンの範囲内にあることが好ましい。
層232の拡大図が図6に示される。層232は絶縁性ライナ233を含み、拡散を防ぐ等の種々の機能のための幾つかの他の層も含むことができる。絶縁性ライナ233は高い共形性(conformality)を有することができ、例えば、SiOの準大気圧CVD(SACVD:sub-atmospheric CVD)堆積によって、または流動可能酸化物の堆積によって形成することができる。或る特定の実施形態では、絶縁層233は第1の端部(または上端)「T」において0.4ミクロン〜1.5ミクロンの厚みである。上面211に隣接する側壁上の厚みに対する遠方端部「R」に隣接する側壁上の厚みが、少なくとも50%であるか、または65%〜100%であるように、環状トレンチ230の側壁上に絶縁性ライナ233を形成することができる。複数の実施形態において、絶縁性ライナ233は5以下のk値を有することができる。
誘電体キャップ234を形成して、絶縁性ライナ233を保護することができる。複数の実施形態において、酸化物キャップ234は、トレンチ230内の絶縁性ライナ233を完全に覆うように形成することができる。キャップ層234は、プラズマCVD(PECVD)によって、上面211に隣接する側壁上で測定して1000オングストローム〜5000オングストロームの呼び厚さ(nominal thickness)まで、遠方端部では、呼び厚さの少なくとも15%、または20パーセント〜30パーセントの範囲内の厚みまで形成することができる。
層232は1つまたは複数の障壁層または接着層235を含むことができる。障壁層は、導電性材料がそこを通って拡散するのを防ぐための障壁としての役割を果たすことができる、例えば、Ta、TaN、Ti、TiN、Ru、RuN、RuTa、RuTaN、W、WNまたは任意の他の材料を含むことができる。導電性TSVコア(例えば、銅)および絶縁性ライナ233の材料に基づいて、実際の障壁材料を選択することができる。特定の実施形態では、障壁235は、20オングストローム〜約500オングストロームの厚みでTa/TaNを堆積することによって形成することができ、更に典型的な厚みは約50オングストローム〜約200オングストロームである。拡散障壁は、プラズマCVD(PECVD)、ALD、PVD、スパッタリング、化学溶液堆積またはめっきのような既知の方法によって形成することができる。めっきの場合、層232はシード層236も含むことになる。銅TSVの場合、シード層236は、従来のプロセス、例えば、PVDまたはALDによって、1000オングストローム〜9000オングストロームの範囲内の厚みまで、または1500オングストローム〜8000オングストロームの範囲内の厚みまで形成することができる。
NovellusまたはNexxから市販されている無ボイド充填プロセス(void-free fill process)を用いて、TSVを銅で充填することができる。導電性充填物は、銅もしくは任意の他の導電性材料、少なくとも1つの導電性金属を含む合金、導電性金属シリサイドまたはそれらの組み合わせを含むことができる。導電性材料は、Cu、WまたはAlのような導電性金属であることが好ましく、本発明ではCuまたはCu合金(AlCu等)であることが非常に好ましい。結果として余分な表層(over burden)が最小限になるように、充填プロセスを制御できる。場合によっては、余分な表層は化学ウエット・エッチングを用いて約2ミクロン以下まで低減することができる。
その後、その構造をアニールして、平均粒径を2ミクロンより大きな値まで、または3ミクロン〜5ミクロンの範囲内のサイズまで高める。そのアニールは300℃より高い温度において進めることができる。その構造は、15分よりも長い時間にわたって、または20分〜120分の範囲にわたって、高い温度に維持することができる。幾つかの実施形態では、その構造は、少なくとも50分間、335℃〜410℃の範囲内に維持される。その構造は、20分〜100分間、350℃〜430℃の範囲に維持することができる。
アニール後に、残りの余分な表層を除去することができる。通常、余分な表層は、CMPと、その後の研磨によって除去される。場合によっては、TSVの上端にわたる従来のBEOL処理によって、更なるBEOL層を形成することができる。上側に、ウエハを取り扱うことができるようにする構造を取り付けることができる。TSVの底端が露出するように、背面を60ミクロン〜90ミクロン以下の厚みまで研削またはエッチングすることができ、その後、所望により、例えば、パッシベーションおよびコンタクト・パッドを含む、TSVへの背面接続を形成することができる。
配線可能性(wireability)が最大になるように、プロセスの複雑さを最小限に抑えながら、環状銅めっきTSVが集積された。100ミクロン未満の深さで、最小ピッチが50ミクロンであり、概ね垂直な側壁を有するブラインド・ビアがボッシュ・プロセスによって形成された。絶縁のために、TSVの底部において優れた被覆性を有する、堆積された共形酸化物が用いられた。障壁およびシード層のためにスパッタ堆積が用いられ、その後、ボトムアップ・プロセスにおいて、無ボイド銅めっき、アニールおよびCMPを実施し、最小限のめっきされた余分な表層を除去した。厚い銅配線レベルは、TSVで観測されるより低い電圧降下を利用するが、結果として更に弓状に曲がる。主要なビア・レベルにおいて、高い圧縮性のILD薄膜を組み込むプロセスを用いて、大きくなった湾曲を補償した。完成したウエハをガラス・ハンドラに接合して、研削、研磨、RIEによって薄厚化した。PECVD酸化物/窒化物が堆積された。CMPによってTSV金属を露出させた後に、銅が電着(electrodeposit)され、背面再分配レベルが画定された。ウエハがダイシングされ、ダイレベルC4接合を用いて有機積層板上に組み付けられた。信頼性試験が実行された。薄い(<1000オングストローム)SiNキャップ(上側)層は、399℃均熱(soak)後に無傷のままであった。ILD損傷試験では、500サイクルにわたる−65℃〜150℃の熱サイクル後に、配線レベル間の漏れに変化は見られなかった。熱サイクルは、TSV抵抗、またはTSVに隣接するデバイスの機能の劣化も示さなかった。
本発明はその好ましい実施形態に関連して詳細に図示および説明されてきたが、本発明の精神および範囲から逸脱することなく、形態および細部において上記の変更および他の変更を加えることができることは当業者には理解されよう。それゆえ、本発明は説明および図示されたのと全く同じ形態および細部に限定されるのではなく、添付の特許請求の範囲に含まれることが意図されている。

Claims (10)

  1. 上面に形成される少なくとも1つの半導体デバイス、および前記上面にわたって堆積される第1の誘電体層を有する基板と、
    前記第1の誘電体層を貫通し、前記基板を貫通して延在する環状トレンチであって、前記基板は前記トレンチの内側側壁および外側側壁を構成し、前記内側側壁および前記外側側壁は5ミクロン〜10ミクロンの範囲内の距離だけ分離される、環状トレンチと、
    前記トレンチ内にあり、前記第1の誘電体層の上側面から前記基板を貫通して延在する導電性経路であって、銅または銅合金を含む導電性経路と、
    インターコネクト・メタライゼーションを含む第2の誘電体層であって、前記インターコネクト・メタライゼーションは前記導電性経路に導通可能に接続され、前記第2の誘電体層は前記第1の誘電体層上に直接形成され前記環状トレンチを覆う、第2の誘電体層と
    を備える、集積回路構造。
  2. 前記内側側壁および前記外側側壁は5.5ミクロン〜9ミクロンの範囲内の距離だけ分離され、前記直径は5ミクロン〜8ミクロンの範囲内にある、請求項1に記載の集積回路構造。
  3. 前記内側側壁および前記外側側壁は前記上面に対して85度〜90度内の角度で傾斜する、請求項1に記載の集積回路構造。
  4. 前記導電性経路は2ミクロンより大きな平均粒径を有する、請求項1に記載の集積回路構造。
  5. 上面に形成された少なくとも1つの半導体デバイスを有する半導体基板と、
    前記半導体基板の前記上面から底面まで延在する環状トレンチであって、前記環状トレンチは、前記半導体基板からなるコアを画定する内側側壁を有し、前記コアは前記上面において5ミクロン〜8ミクロンの直径を有し、前記内側側壁は前記上面に対して87度〜90度に傾けられる、環状トレンチと、
    前記環状トレンチ内の導電性経路であって、前記半導体基板から誘電体ライナによって分離される導電性経路と、
    前記少なくとも1つの半導体デバイスに導通可能に接続されるインターコネクト・メタライゼーションを含む誘電体層であって、前記環状トレンチを覆う誘電体層と
    を備える、集積回路。
  6. 前記導電性経路は銅または銅合金を含み、
    前記半導体基板は単結晶シリコンを含み、
    前記誘電体ライナは前記内側側壁上に厚みを有し、前記底面付近の前記厚みは前記上面における前記厚みの少なくとも50%である、請求項5に記載の集積回路。
  7. 前記環状トレンチの外径は、前記上面において19ミクロン〜23ミクロンである、請求項5に記載の集積回路。
  8. 基板内に環状トレンチを形成するステップであって、前記基板はその上面に形成された少なくとも1つの半導体デバイスを有し、前記トレンチは、10ミクロン未満だけ分離され90ミクロン以下の深さまで延在する内側側壁および外側側壁を有する、形成するステップと、
    前記内側側壁および前記外側側壁を共形誘電体ライナで裏打ちするステップと、
    前記トレンチを銅または銅合金を含む導電性材料で充填するステップと、
    前記充填されたトレンチを、少なくとも20分間、350℃よりも高い温度でアニールするステップと
    を含む、堅牢なTSV構造を形成する方法。
  9. 前記基板の背面を薄厚化して、前記充填されたトレンチの前記導電性材料を露出させるステップを更に含む、請求項8に記載の方法。
  10. 前記側壁は10パーセント未満の粗さを有する波形の輪郭を有する、請求項8に記載の方法。
JP2014517076A 2011-06-23 2012-06-19 集積回路構造、集積回路、および堅牢なtsv構造を形成する方法 Pending JP2014517547A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/167,107 US8487425B2 (en) 2011-06-23 2011-06-23 Optimized annular copper TSV
US13/167,107 2011-06-23
PCT/US2012/043052 WO2012177585A2 (en) 2011-06-23 2012-06-19 Optimized annular copper tsv

Publications (1)

Publication Number Publication Date
JP2014517547A true JP2014517547A (ja) 2014-07-17

Family

ID=47361093

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014517076A Pending JP2014517547A (ja) 2011-06-23 2012-06-19 集積回路構造、集積回路、および堅牢なtsv構造を形成する方法

Country Status (9)

Country Link
US (2) US8487425B2 (ja)
JP (1) JP2014517547A (ja)
KR (1) KR20140014251A (ja)
CN (1) CN103548120B (ja)
CA (1) CA2828498A1 (ja)
DE (1) DE112012001870B4 (ja)
GB (1) GB2505576B (ja)
TW (1) TWI525776B (ja)
WO (1) WO2012177585A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016072612A (ja) * 2014-09-26 2016-05-09 信越化学工業株式会社 ウエハ加工体、ウエハ加工用仮接着材、及び薄型ウエハの製造方法
KR101672640B1 (ko) * 2015-06-23 2016-11-03 앰코 테크놀로지 코리아 주식회사 반도체 디바이스

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120000748A (ko) * 2010-06-28 2012-01-04 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8709936B2 (en) * 2012-07-31 2014-04-29 International Business Machines Corporation Method and structure of forming backside through silicon via connections
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
CN103985666A (zh) * 2013-02-07 2014-08-13 中芯国际集成电路制造(上海)有限公司 一种环形硅深孔及环形硅深孔电极的制备方法
US9184041B2 (en) 2013-06-25 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with backside structures to reduce substrate warp
KR102114340B1 (ko) 2013-07-25 2020-05-22 삼성전자주식회사 Tsv 구조 및 디커플링 커패시터를 구비한 집적회로 소자 및 그 제조 방법
US8980746B2 (en) * 2013-08-13 2015-03-17 Lam Research Corporation Adhesion layer for through silicon via metallization
US20150069608A1 (en) * 2013-09-11 2015-03-12 International Business Machines Corporation Through-silicon via structure and method for improving beol dielectric performance
US9548273B2 (en) 2014-12-04 2017-01-17 Invensas Corporation Integrated circuit assemblies with rigid layers used for protection against mechanical thinning and for other purposes, and methods of fabricating such assemblies
KR101644266B1 (ko) * 2015-04-08 2016-07-29 주식회사 스탠딩에그 캡 기판의 제조 방법, 이를 이용한 mems 장치의 제조 방법, 및 mems 장치
KR102379165B1 (ko) 2015-08-17 2022-03-25 삼성전자주식회사 Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법
US10199461B2 (en) * 2015-10-27 2019-02-05 Texas Instruments Incorporated Isolation of circuit elements using front side deep trench etch
US9899260B2 (en) 2016-01-21 2018-02-20 Micron Technology, Inc. Method for fabricating a semiconductor device
EP3223063A1 (en) 2016-03-24 2017-09-27 Thomson Licensing Device for forming a field intensity pattern in the near zone, from incident electromagnetic waves
EP3223062A1 (en) 2016-03-24 2017-09-27 Thomson Licensing Device for forming at least one focused beam in the near zone, from incident electromagnetic waves
US9929085B2 (en) 2016-06-02 2018-03-27 Globalfoundries Inc. Integrated circuit structure having deep trench capacitor and through-silicon via and method of forming same
US9892970B2 (en) 2016-06-02 2018-02-13 Globalfoundries Inc. Integrated circuit structure having deep trench capacitor and through-silicon via and method of forming same
US10727138B2 (en) 2016-06-28 2020-07-28 Intel Corporation Integration of single crystalline transistors in back end of line (BEOL)
EP3312646A1 (en) 2016-10-21 2018-04-25 Thomson Licensing Device and method for shielding at least one sub-wavelength-scale object from an incident electromagnetic wave
EP3312660A1 (en) 2016-10-21 2018-04-25 Thomson Licensing Device for forming at least one tilted focused beam in the near zone, from incident electromagnetic waves
EP3385219B1 (en) 2017-04-07 2021-07-14 InterDigital CE Patent Holdings Method for manufacturing a device for forming at least one focused beam in a near zone
US10867905B2 (en) * 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
TWI691038B (zh) * 2018-01-30 2020-04-11 聯華電子股份有限公司 半導體裝置及其形成方法
KR20220133013A (ko) * 2021-03-24 2022-10-04 삼성전자주식회사 관통 비아 구조물을 갖는 반도체 장치
CN113410175B (zh) * 2021-06-15 2023-06-02 西安微电子技术研究所 一种tsv导电通孔结构制备方法
CN114959606A (zh) * 2022-05-13 2022-08-30 赛莱克斯微系统科技(北京)有限公司 硅通孔种子层的制备方法及芯片的制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002043502A (ja) * 2000-07-25 2002-02-08 Toshiba Corp マルチチップ半導体装置、ならびにマルチチップ半導体装置用チップ及びその製造方法
WO2004064159A1 (ja) * 2003-01-15 2004-07-29 Fujitsu Limited 半導体装置及び三次元実装半導体装置、並びに半導体装置の製造方法
JP2009055003A (ja) * 2007-08-24 2009-03-12 Honda Motor Co Ltd 貫通配線構造
JP2010118645A (ja) * 2008-10-16 2010-05-27 Dainippon Printing Co Ltd 貫通電極基板及びその製造方法、並びに貫通電極基板を用いた半導体装置
JP2011082496A (ja) * 2009-09-09 2011-04-21 Dainippon Printing Co Ltd 貫通電極基板及びその製造方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
JP2003318178A (ja) * 2002-04-24 2003-11-07 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
US6790775B2 (en) * 2002-10-31 2004-09-14 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
US20040150103A1 (en) * 2003-02-03 2004-08-05 International Business Machines Corporation Sacrificial Metal Liner For Copper
US7276787B2 (en) 2003-12-05 2007-10-02 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
JP2006019455A (ja) * 2004-06-30 2006-01-19 Nec Electronics Corp 半導体装置およびその製造方法
CN101410972B (zh) 2006-03-27 2010-09-08 皇家飞利浦电子股份有限公司 用于半导体载体的低欧姆衬底通孔互连
US7625814B2 (en) 2006-03-29 2009-12-01 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7863189B2 (en) 2007-01-05 2011-01-04 International Business Machines Corporation Methods for fabricating silicon carriers with conductive through-vias with low stress and low defect density
WO2008087578A2 (en) 2007-01-17 2008-07-24 Nxp B.V. A system-in-package with through substrate via holes
US7902069B2 (en) 2007-08-02 2011-03-08 International Business Machines Corporation Small area, robust silicon via structure and process
US7821107B2 (en) * 2008-04-22 2010-10-26 Micron Technology, Inc. Die stacking with an annular via having a recessed socket
US7741226B2 (en) 2008-05-06 2010-06-22 International Business Machines Corporation Optimal tungsten through wafer via and process of fabricating same
JP2010010324A (ja) * 2008-06-26 2010-01-14 Toshiba Corp 半導体装置及び半導体装置の製造方法
US8138036B2 (en) 2008-08-08 2012-03-20 International Business Machines Corporation Through silicon via and method of fabricating same
TWI366890B (en) 2008-12-31 2012-06-21 Ind Tech Res Inst Method of manufacturing through-silicon-via and through-silicon-via structure
CN101789390A (zh) * 2009-01-23 2010-07-28 财团法人工业技术研究院 硅导通孔的制造方法与硅导通孔结构
US8169055B2 (en) * 2009-03-18 2012-05-01 International Business Machines Corporation Chip guard ring including a through-substrate via
US8329578B2 (en) * 2009-03-27 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via structure and via etching process of forming the same
US8062975B2 (en) 2009-04-16 2011-11-22 Freescale Semiconductor, Inc. Through substrate vias
FR2951017A1 (fr) 2009-10-05 2011-04-08 St Microelectronics Crolles 2 Via de connexion electrique pour substrat de dispositif semi-conducteur
US8242604B2 (en) 2009-10-28 2012-08-14 International Business Machines Corporation Coaxial through-silicon via

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002043502A (ja) * 2000-07-25 2002-02-08 Toshiba Corp マルチチップ半導体装置、ならびにマルチチップ半導体装置用チップ及びその製造方法
WO2004064159A1 (ja) * 2003-01-15 2004-07-29 Fujitsu Limited 半導体装置及び三次元実装半導体装置、並びに半導体装置の製造方法
JP2009055003A (ja) * 2007-08-24 2009-03-12 Honda Motor Co Ltd 貫通配線構造
JP2010118645A (ja) * 2008-10-16 2010-05-27 Dainippon Printing Co Ltd 貫通電極基板及びその製造方法、並びに貫通電極基板を用いた半導体装置
JP2011082496A (ja) * 2009-09-09 2011-04-21 Dainippon Printing Co Ltd 貫通電極基板及びその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016072612A (ja) * 2014-09-26 2016-05-09 信越化学工業株式会社 ウエハ加工体、ウエハ加工用仮接着材、及び薄型ウエハの製造方法
KR101672640B1 (ko) * 2015-06-23 2016-11-03 앰코 테크놀로지 코리아 주식회사 반도체 디바이스

Also Published As

Publication number Publication date
TWI525776B (zh) 2016-03-11
CN103548120B (zh) 2016-12-07
CN103548120A (zh) 2014-01-29
US8487425B2 (en) 2013-07-16
US20130244420A1 (en) 2013-09-19
GB2505576B (en) 2016-03-23
CA2828498A1 (en) 2012-12-27
WO2012177585A3 (en) 2013-04-25
GB2505576A (en) 2014-03-05
US8658535B2 (en) 2014-02-25
KR20140014251A (ko) 2014-02-05
US20120326309A1 (en) 2012-12-27
TW201306218A (zh) 2013-02-01
DE112012001870T5 (de) 2014-03-27
GB201318982D0 (en) 2013-12-11
DE112012001870B4 (de) 2018-09-13
WO2012177585A2 (en) 2012-12-27

Similar Documents

Publication Publication Date Title
US8658535B2 (en) Optimized annular copper TSV
US10262963B2 (en) Conductive barrier direct hybrid bonding
CN109411443B (zh) 垂直堆叠晶圆及其形成方法
US9059167B2 (en) Structure and method for making crack stop for 3D integrated circuits
US8846523B2 (en) Process of forming through-silicon via structure
US9087878B2 (en) Device with through-silicon via (TSV) and method of forming the same
US8796852B2 (en) 3D integrated circuit structure and method for manufacturing the same
JP5345077B2 (ja) 低k誘電体ライナーを有するシリコン貫通ビア
US8970011B2 (en) Method and structure of forming backside through silicon via connections
JP5497756B2 (ja) 半導体素子の製造方法および半導体素子
US9984926B2 (en) Solution for TSV substrate leakage
US10504821B2 (en) Through-silicon via structure
US8822336B2 (en) Through-silicon via forming method
US20220223498A1 (en) Backside or frontside through substrate via (tsv) landing on metal
TWI772335B (zh) 半導體裝置及其製造方法
TWI737523B (zh) 矽穿孔結構及其製作方法
US20240096830A1 (en) Adding Sealing Material to Wafer edge for Wafer Bonding
US20140264833A1 (en) Semiconductor package and method for fabricating the same
TWI459507B (zh) 一種製作矽貫通電極的方法
TW201250970A (en) Method for fabricating through-silicon via structure

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140401

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150522

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20150626

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20150629

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160303

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160721

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161122

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20170627