US20150097273A1 - Method and structure of forming backside through silicon via connections - Google Patents

Method and structure of forming backside through silicon via connections Download PDF

Info

Publication number
US20150097273A1
US20150097273A1 US14/569,844 US201414569844A US2015097273A1 US 20150097273 A1 US20150097273 A1 US 20150097273A1 US 201414569844 A US201414569844 A US 201414569844A US 2015097273 A1 US2015097273 A1 US 2015097273A1
Authority
US
United States
Prior art keywords
conductor
liner
substrate
silicon
backside
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/569,844
Inventor
Mukta G. Farooq
Richard P. Volant
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US14/569,844 priority Critical patent/US20150097273A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FAROOQ, MUKTA G., VOLANT, RICHARD P.
Publication of US20150097273A1 publication Critical patent/US20150097273A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area

Abstract

A method, and the resulting structure, to make a thinned substrate with backside redistribution wiring connected to through silicon vias of varying height. The method includes thinning a backside of a substrate to expose through silicon vias. Then a thick insulator stack, including an etch stop layer, is deposited and planarized. With a planar insulating surface in place, openings in the insulator stack can be formed by etching. The etch stop layer in the dielectric stack accommodates the differing heights vias. The etch stop is removed and a conductor having a liner is formed in the opening. The method gives a unique structure in which a liner around the bottom of the through silicon via remains in tact. Thus, the liner of the via and a liner of the conductor meet to form a double liner at the via/conductor junction.

Description

    CROSS REFERENCE
  • This application is a continuation of U.S. patent application Ser. No. 14/153,145, filed on Jan. 13, 2014, which is a divisional of U.S. patent application Ser. No. 13/562,927, filed on Jul. 31, 2012. Each patent application identified above is incorporated here by reference in its entirety to provide continuity of disclosure.
  • BACKGROUND
  • The present invention generally relates to microelectronic structures, and more particularly to through silicon vias (TSVs), and even more preferably to the formation of TSVs connected to conducting structures.
  • In the past, microelectronic devices, including integrated circuits (ICs), have increased performance by shrinking device features, thereby creating a higher density of circuits on a substrate. To continue the quest for increased performance, in additional the described two-dimensional (2D) shrinking, manufactures are stacking substrates to gain density in a third dimension (i.e. 3D-ICs). To enable the 3D efforts, TSVs are used to connect a first substrate to bond pads, interposers, redistribution layers, a second substrate, or other conductive features.
  • TSVs extend from within an integrated circuit built on/in a first substrate to the backside of the first substrate. Initially, the TSVs end within the substrate. The substrate is thinned to expose the TSVs so they may subsequently be connected to the bond pads, interposer, redistribution layer, second substrate or the like. However, during the thinning/exposure process(es) the substrate may form a fissure or break. This is particularly true if the TSVs are different heights. And even if the substrate is not damaged, the current process and resulting structure are prone to shorting or leakage.
  • Therefore, a robust process is needed to accommodate TSVs of varying heights. This invention provides a novel process and resulting structure to accommodate TSVs of varying heights and is also applicable to TSVs having uniform heights.
  • SUMMARY
  • The general principal of the present invention is a method, and the resulting structure, to make a connection between one or more conductors and vias. The method is particularly applicable to through silicon vias having different heights.
  • The method includes thinning a backside of a substrate to expose through silicon vias. Then a thick insulator stack, preferably including an etch stop layer, is deposited and planarized. With a planar insulating surface in place, openings in the insulator stack can be formed by etching. The etch stop layer in the dielectric stack accommodates the differing heights vias. The etch stop is removed and a conductor having a liner is formed in the opening.
  • The method gives a unique structure in which a liner around the bottom of the through silicon via remains in tact. Thus, the liner of the via and a liner of the conductor meet to form a double liner at the via/conductor junction.
  • One aspect of the invention is a structure which includes a substrate having a backside; a first through silicon via having sides; a bottom surface; and a first height protruding from the backside of the substrate. The structure further includes a first conductor facing the backside of the substrate and in electrical contact with the first silicon via. In the structure, a first via liner encapsulates the sides and the bottom surface of the first through silicon via.
  • A further aspect of the invention is a structure which includes a conductor having a conductor fill material and having a conductor liner covering at least one side of the conductor. The structure also includes a via having a via fill material and having a via liner covering at least one side of the via. In the structure, the at least one side of the via covered by the via liner is facing and in direct contact with the at least one side of the conductor covered by the wiring liner.
  • Another aspect of the invention is a method of forming an integrated circuit substrate connected to a conductor, the method includes providing a substrate having a first through silicon via within the substrate wherein the substrate has a backside; exposing, through the backside of the substrate, an end of the first through silicon via; forming an insulator over the backside of the substrate and the end of the first through silicon via; forming an opening in the insulator over the end of the first through silicon via; and forming a conductor in the opening.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
  • FIG. 1 illustrates a flow chart for a method of making the conductor/via structure according to an embodiment of the present invention.
  • FIG. 2 illustrates an embodiment of an integrated circuit having TSVs of different heights according to a step in the method of the present invention;
  • FIG. 3 illustrates an embodiment of an integrated circuit after thinning the backside of the substrate to form protruding TSVs according to an embodiment of the present invention;
  • FIG. 4 illustrates an embodiment of an integrated circuit after forming a planarized insulating layer according to an embodiment of the present invention;
  • FIG. 5 illustrates an embodiment of an integrated circuit after etching to reveal TSVs according to the embodiment of the present invention;
  • FIG. 6 illustrates forming a planarized conductor in electrical contact with the TSVs according to an embodiment of the present invention;
  • FIG. 7 illustrates an enlarged view of the conductor making electrical contact with a TSV according to an embodiment of the present invention; and
  • FIG. 8 illustrates the film stack along line A-A′ of FIG. 7 according to an embodiment of the present invention.
  • Other objects, aspects and advantages of the invention will become obvious in combination with the description of accompanying drawings, wherein the same number represents the same or similar parts in all figures.
  • DETAILED DESCRIPTION
  • Embodiments of methods of making a conductor in contact with a through silicon via of the present invention are described in conjunction with FIGS. 1-6. Various embodiments of the structure of the present invention are described in conjunction with FIGS. 6-8.
  • FIG. 1 illustrates a flow chart 10 of the steps of a method to create a conductor in contact with a through silicon via or via(s). The method starts at step 20 by providing an integrated circuit (IC) having one or more through silicon vias (TSV) within a substrate. Next, step 30 thins the substrate so that the TSVs are exposed, and preferably protruding, from the backside of the substrate. In step 40, an insulator stack is formed on the backside of the substrate and over the exposed TSVs. The insulator stack is planarized. In step 50, the insulator stack is patterned an etch to form and opening which reveals the TSV(s). Finally, in step 60, a conductor is formed in the opening and in contact with the TSV(s). The steps are discussed in more detail in the following paragraphs.
  • FIG. 2 illustrates a starting point of the method: providing an integrated circuit (IC) 100. In FIG. 2, the IC is shown upside down, such that the bottom the IC having the substrate 110 is at the top of the page, and the top of the IC having the interconnect levels 120 is at the bottom of the page. FIG. 2 also shows an optional glass handling layer 130 and adhesive layer 135. Those skilled in the art realize that other layers of features could be in addition to or in lieu of the glass handling layer.
  • Still referring to FIG. 2, the substrate 110 is preferably a semiconductor substrate and can include semiconductor on insulator substrates. In a preferred embodiment the semiconductor is silicon. The substrate has a back-side 112 and a front-side 114. The transistors of the IC are in and/or on the front-side 114 of the substrate 110, but our not shown in FIG. 2. The transistors are in electrical communication with the interconnects levels 120 of the IC.
  • The interconnect levels 120 comprise dielectrics 124 and metals 122 levels. Preferably, one or more of the dielectrics 124 of the interconnect levels 120 comprises a low k dielectric. Low k dielectrics include dielectrics having a dielectric constant less than 3.9, preferably less than 3.2, and more preferably 2.2 or less. Low k dielectrics include, but are note limited to, halogen doped silicon oxides, carbon doped silicon oxides, and porous silicon carbon doped oxides. Preferably, the metal 122 levels comprise copper. One or more of the metal 122 levels of the interconnect 120 are connected to one or more through silicon vias (TSVs) 90. In FIG. 2, the TSVs are connects to the lowest or first metal level, but a TSV could be connected to other metal levels, and each TSV could be connected to the same or different metal levels.
  • Continuing with FIG. 2, three TSVs are shown. Each TSV has a fill material 92, a via liner 94 and a via insulator 96. Preferably, the via fill material 92 is a conductor and in particular, comprises copper. The via liner 94 is preferably a conductive material, it may also optionally function as a diffusion barrier. In a preferred embodiment, the via liner 94 is a dual layer of Ta and TaN with the Ta being between the copper and the TaN. The via insulator 96 electrically isolates the TSV 90 from the substrate 110. In a preferred embodiment the via insulator includes a silicon oxide.
  • Still referring to FIG. 2, the TSVs 90 are embedded in the substrate 110. Each TSV has a height measured from the top 114 of the substrate to the bottom surface of the via defined by the via fill 92/via liner 94 interface. FIG. 2 shows an example in which one of the vias has a first height h1 whereas another via has a second height h2 which is different from the first height. The difference in TSV height is designated by reference numeral 98 in FIG. 2 and can be from about 0.5 micron to about 10 microns and ranges therebetween. The height difference can be intentional or more likely is a result of process variation while etching to form the TSVs 90 in the substrate 110.
  • Referring to FIG. 3, the substrate 110 has been thinned such that the backside 112 of the substrate 110 is below the bottom surface of the TSVs 90. Notice that the height difference 98, if any, of the TSVs remains intact after the substrate 110 thinning. The substrate 110 thinning process is a combination of grinding/polishing, cleaning and reactive ion etching (RIE). The TSVs 90 now protrude from the back-side 112 of the substrate 110 a distance, d. Note, for the TSV having the lesser height, h1, it's distance, d1, from the backs-side 112 of the substrate 110 is lesser than the distance, d2, protruded by the taller TSV having height h2. A typical protruding distance, d, can be from about 0.5 micron to about 10 microns and ranges there between.
  • Referring to FIG. 4, an insulator stack comprising an etch stop layer 88 and insulator layer 86 is deposited. Note that the etch stop layer 88 substantially conforms with the protruding TSVs 90 while the insulator layer 86 fills the area between the protruding TSVs 90. The insulator layer 86 has a thickness such that is completely covers all of the TSVs 90 protruding above the back-side 112 of the substrate 110, as illustrated in FIG. 4. The etch stop layer 88 is a nitrogen containing dielectric layer. In a preferred embodiment the etch stop layer 88 is silicon nitride. The etch stop layer 88 may include multiple layers of films of the same or different type. Preferably the etch stop layer 88 is from about 500 A to about 1 um thick and ranges therebetween. The insulator layer 86 can be any dielectric layer that etches more rapidly than the etch stop layer 88. In a preferred embodiment the insulator layer 86 is silicon dioxide. The insulator layer 86 may include multiple layers of films of the same or different type. Preferably the insulator layer 86 is from about 5 um to about 20 um thick and ranges therebetween. In FIG. 4, the insulator stack has been planarized either by chemical mechanical polishing or an etch back.
  • Referring to FIG. 5, with a planarized surface in place, the lithography and etching to form openings in the insulator layer 86 can progress. Here, openings 87 formed in the insulator stack reveal the via liner 94, but leave the via liner 94 in place over the bottom surface of the via 90. The height 85 of the openings is substantially the same regardless of TSV heights (h1 and h2) and TSV protrusion distance (d1 or d2) from the thinned substrate back-side 112. Thus, as is shown in the TSV on the left of FIG. 5, some TSVs can be just revealed by the opening, while other TSVs, on the right of FIG. 5, also exposes the part of the sides of the TSVs.
  • Referring to FIG. 6, the openings 87 are filled and co-planarized to form a conductor 80. The conductor includes a conductor liner 89 and a conductor fill 82. In a preferred embodiment the conductor liner 89 is TaN/Ta and the conductor fill 82 contains copper. However, other combinations are possible. While FIG. 6 only shows a single conductor 80 layer, other conductor layers can be built above conductor 80. In one embodiment the conductor 80 is a redistribution line. In another embodiment the conductor 80 can be, by way of example and not limitation, a capture pad for packaging interconnect (i.e. aC4 ball or wirebond).
  • FIG. 6 shows an embodiment of a final structure of the present invention. Unique features of the final structure include that the TSVs 90 retain their height difference 98 after formation of the conductor 80. As such, the TSVs of different heights also retain their different distances from the back-side 112 of substrate 110 to the bottom surface (interface between via fill 92 and via liner 94). Another unique feature is that there is a double liner where the conductor 80 and the TSV 90 meet. The double liner feature can be seen more clearly in FIG. 7.
  • Referring to FIG. 7, an enlargement of a portion of FIG. 6 is shown. Here, it can be clearly sent that the bottom surface of the TSV 90 retains it via liner 94 and that it is in contact with the conductor liner 89. The points A-A′ of FIG. 7 are further enlarged in FIG. 8 showing the preferred embodiment of the double liner.
  • Referring to FIG. 8, on the left hand side of are the conductor 80, conductor fill 82, conductor liner 89, via liner 94 and via fill 92 as depicted in FIG. 7. On the right hand side of FIG. 8, is the preferred embodiment wherein the conductor fill 82 contains copper, the conductor liner 89 is Ta film on at TaN film, the via liner 94 is in this inverted view, a TaN film on a Ta film, and the via fill 92 contains copper. The advantage of a double line layer is that a diffusion barrier remains in place throughout all processing; therefore, the substrate is never exposed to a highly diffusive metal, such as copper.
  • Other advantages of the present invention include that the method does not require any polishing of the TSVs which means there is no smearing of the via fill material. Instead, the TSVs remain encapsulated by the via liner. Furthermore, by not polishing the TSVs cracking of the substrate is minimized, if not eliminated completely. A further advantage is that multiple redistribution levels are enabled by planarized conductor. Conductors, such as redistribution layers (RDL) Yet another advantage of the present invention is that incoming substrates with varying TSV heights can be successfully processed. Finally, while the present invention is explained in conjunction with the preferred embodiment of copper TSVs, it can work equally well with other conjunction with other TSV materials, such as, but not limited to tungsten and it's liners (Ti/TiN).
  • While the present invention has been described with reference to what are presently considered to be the preferred embodiments, it is to be understood that the invention is not limited to the disclosed embodiments. On the contrary, the invention is intended to cover various modifications and equivalent arrangements included within the spirit and scope of the appended claims. The scope of the following claims is to be accorded the broadcast interpretation so as to encompass all such modifications and equivalent structures and functions.

Claims (20)

What is claimed is:
1. A structure comprising:
a substrate having a backside;
a first through silicon via having sides, a bottom surface, and a first height protruding from the backside of the substrate; and
a first conductor facing the backside of the substrate and in electrical contact with the first silicon via;
wherein a first via liner encapsulates the sides and the bottom surface of the first through silicon via.
2. The structure of claim 1, wherein the first conductor has a first conductor liner; and wherein the first conductor liner is in direct contact with the first via liner on the bottom surface of the first through silicon via.
3. The structure of claim 2, wherein the first conductor is a redistribution line.
4. The structure of claim 2, wherein the first via liner comprises a tantalum layer and a tantalum nitride layer.
5. The structure of claim 4, wherein the conductor liner comprises a tantalum layer and a tantalum nitride layer.
6. The structure of claim 1, further comprising a second through silicon via having a second height, wherein the first and second heights are different.
7. The structure of claim 6, wherein a second via liner encapsulates a bottom surface and sides of the second through silicon via.
8. The structure of claim 7, further comprising a second conductor wherein the second conductor has a second conductor liner; and wherein the second conductor liner is in direct contact with the second via liner on the bottom surface of the first through silicon via.
9. The structure of claim 8, wherein the first and second conductors are on a same level.
10. The structure of claim 8, further comprising a third conductor electrically connected to the first or second conductor wherein the third conductor is on a different level.
11. A structure comprising:
a conductor having a conductor fill material and having a conductor liner covering at least one side of the conductor; and
a via having a via fill material and having a via liner covering at least one side of the via;
wherein the at least one side of the via covered by the via liner is facing and in direct contact with the at least one side of the conductor covered by the wiring liner.
12. A method of forming an integrated circuit substrate connected to a conductor, the method comprising:
providing a substrate having a first through silicon via within the substrate wherein the substrate has a backside;
exposing, through the backside of the substrate, an end of the first through silicon via;
forming an insulator over the backside of the substrate and the end of the first through silicon via;
forming an opening in the insulator over the end of the first through silicon via; and
forming a conductor in the opening.
13. The method of claim 12, wherein forming an insulator further comprises forming an etch stop layer adjacent to the backside of the substrate and a dielectric layer adjacent the etch stop layer.
14. The method of claim 13, wherein the etch stop layer is a dielectric layer containing nitrogen.
15. The method of claim 13, wherein the dielectric layer is an oxide layer.
16. The method of claim 13, further comprising planarizing the dielectric layer.
17. The method of claim 13, further comprising providing a second through silicon via;
wherein the first through silicon via has a first height and the second through silicon via has a second height;
wherein the first and second heights are different.
18. The method of claim 13, wherein forming an opening in the insulator layer includes etching the dielectric layer to the etch stop layer, removing the etch stop layer and exposing a bottom surface of each of the first and second through silicon vias such that the heights of the first and second through silicon vias are different.
19. The method of claim 17, wherein exposing the bottom surface includes removing a via insulator and exposing a via liner; wherein the via liner encapsulates the sides and the bottom surface of the via.
20. The method of claim 17, wherein forming a conductor in the opening further comprises forming a conductor liner in contact with said via liner.
US14/569,844 2012-07-31 2014-12-15 Method and structure of forming backside through silicon via connections Abandoned US20150097273A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/569,844 US20150097273A1 (en) 2012-07-31 2014-12-15 Method and structure of forming backside through silicon via connections

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/562,927 US8709936B2 (en) 2012-07-31 2012-07-31 Method and structure of forming backside through silicon via connections
US14/153,145 US8970011B2 (en) 2012-07-31 2014-01-13 Method and structure of forming backside through silicon via connections
US14/569,844 US20150097273A1 (en) 2012-07-31 2014-12-15 Method and structure of forming backside through silicon via connections

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/153,145 Continuation US8970011B2 (en) 2012-07-31 2014-01-13 Method and structure of forming backside through silicon via connections

Publications (1)

Publication Number Publication Date
US20150097273A1 true US20150097273A1 (en) 2015-04-09

Family

ID=50024666

Family Applications (3)

Application Number Title Priority Date Filing Date
US13/562,927 Active US8709936B2 (en) 2012-07-31 2012-07-31 Method and structure of forming backside through silicon via connections
US14/153,145 Active US8970011B2 (en) 2012-07-31 2014-01-13 Method and structure of forming backside through silicon via connections
US14/569,844 Abandoned US20150097273A1 (en) 2012-07-31 2014-12-15 Method and structure of forming backside through silicon via connections

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US13/562,927 Active US8709936B2 (en) 2012-07-31 2012-07-31 Method and structure of forming backside through silicon via connections
US14/153,145 Active US8970011B2 (en) 2012-07-31 2014-01-13 Method and structure of forming backside through silicon via connections

Country Status (1)

Country Link
US (3) US8709936B2 (en)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8940637B2 (en) * 2012-07-05 2015-01-27 Globalfoundries Singapore Pte. Ltd. Method for forming through silicon via with wafer backside protection
US8709936B2 (en) * 2012-07-31 2014-04-29 International Business Machines Corporation Method and structure of forming backside through silicon via connections
KR20140073163A (en) * 2012-12-06 2014-06-16 삼성전자주식회사 Semiconductor device and method of forming the same
US9627250B2 (en) * 2013-03-12 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for back end of line semiconductor device processing
US20150048496A1 (en) * 2013-08-13 2015-02-19 Macrotech Technology Inc. Fabrication process and structure to form bumps aligned on tsv on chip backside
US9443764B2 (en) * 2013-10-11 2016-09-13 GlobalFoundries, Inc. Method of eliminating poor reveal of through silicon vias
US9786580B2 (en) * 2013-11-15 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Self-alignment for redistribution layer
KR20150073473A (en) * 2013-12-23 2015-07-01 에스케이하이닉스 주식회사 Semiconductor device and method for manufacturing the same
KR102177702B1 (en) 2014-02-03 2020-11-11 삼성전자주식회사 Via Structures and Semiconductor Devices Having a Via plug
US9472518B2 (en) * 2014-04-04 2016-10-18 Micron Technology, Inc. Semiconductor structures including carrier wafers and methods of using such semiconductor structures
US9831281B2 (en) 2015-05-01 2017-11-28 Sensors Unlimited, Inc. Electrical interconnects for photodiode arrays and readout interface circuits in focal plane array assemblies
US9837309B2 (en) 2015-11-19 2017-12-05 International Business Machines Corporation Semiconductor via structure with lower electrical resistance
US9805977B1 (en) * 2016-06-08 2017-10-31 Globalfoundries Inc. Integrated circuit structure having through-silicon via and method of forming same
US10236208B2 (en) 2016-06-16 2019-03-19 Advanced Semiconductor Engineering, Inc. Semiconductor package structure and method of manufacturing the same
CN110085616A (en) * 2019-05-22 2019-08-02 德淮半导体有限公司 The forming method of imaging sensor
CN113964081A (en) * 2020-07-21 2022-01-21 长鑫存储技术有限公司 Semiconductor structure and forming method thereof
CN114127914B (en) * 2021-05-11 2023-05-26 英诺赛科(苏州)半导体有限公司 Integrated semiconductor device and method of manufacturing the same
CN113035833B (en) * 2021-05-28 2021-09-28 浙江集迈科微电子有限公司 Multilayer wiring adapter plate and preparation method thereof
CN117253872B (en) * 2023-11-15 2024-02-27 深圳市新凯来技术有限公司 Interconnect structure and method for manufacturing interconnect structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7833895B2 (en) * 2008-05-12 2010-11-16 Texas Instruments Incorporated TSVS having chemically exposed TSV tips for integrated circuit devices
US8039385B1 (en) * 2010-09-13 2011-10-18 Texas Instruments Incorporated IC devices having TSVS including protruding tips having IMC blocking tip ends
US8143704B2 (en) * 2009-10-02 2012-03-27 Texas Instruments Incorporated Electronic assemblies including mechanically secured protruding bonding conductor joints
US8227295B2 (en) * 2008-10-16 2012-07-24 Texas Instruments Incorporated IC die having TSV and wafer level underfill and stacked IC devices comprising a workpiece solder connected to the TSV

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7691747B2 (en) 2007-11-29 2010-04-06 STATS ChipPAC, Ltd Semiconductor device and method for forming passive circuit elements with through silicon vias to backside interconnect structures
US8890271B2 (en) * 2010-06-30 2014-11-18 Zena Technologies, Inc. Silicon nitride light pipes for image sensors
US7928534B2 (en) 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
US7956442B2 (en) 2008-10-09 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Backside connection to TSVs having redistribution lines
US8759949B2 (en) 2009-04-30 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside structures having copper pillars
US8236610B2 (en) * 2009-05-26 2012-08-07 International Business Machines Corporation Forming semiconductor chip connections
US8242604B2 (en) * 2009-10-28 2012-08-14 International Business Machines Corporation Coaxial through-silicon via
US8415238B2 (en) * 2010-01-14 2013-04-09 International Business Machines Corporation Three dimensional integration and methods of through silicon via creation
US8399180B2 (en) * 2010-01-14 2013-03-19 International Business Machines Corporation Three dimensional integration with through silicon vias having multiple diameters
US8455356B2 (en) * 2010-01-21 2013-06-04 International Business Machines Corporation Integrated void fill for through silicon via
US8492878B2 (en) * 2010-07-21 2013-07-23 International Business Machines Corporation Metal-contamination-free through-substrate via structure
US8492241B2 (en) * 2010-10-14 2013-07-23 International Business Machines Corporation Method for simultaneously forming a through silicon via and a deep trench structure
US8637400B2 (en) * 2011-06-21 2014-01-28 International Business Machines Corporation Interconnect structures and methods for back end of the line integration
US8487425B2 (en) * 2011-06-23 2013-07-16 International Business Machines Corporation Optimized annular copper TSV
US8686522B2 (en) * 2011-10-13 2014-04-01 International Business Machines Corporation Semiconductor trench inductors and transformers
US8709936B2 (en) * 2012-07-31 2014-04-29 International Business Machines Corporation Method and structure of forming backside through silicon via connections

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7833895B2 (en) * 2008-05-12 2010-11-16 Texas Instruments Incorporated TSVS having chemically exposed TSV tips for integrated circuit devices
US8227295B2 (en) * 2008-10-16 2012-07-24 Texas Instruments Incorporated IC die having TSV and wafer level underfill and stacked IC devices comprising a workpiece solder connected to the TSV
US8143704B2 (en) * 2009-10-02 2012-03-27 Texas Instruments Incorporated Electronic assemblies including mechanically secured protruding bonding conductor joints
US8039385B1 (en) * 2010-09-13 2011-10-18 Texas Instruments Incorporated IC devices having TSVS including protruding tips having IMC blocking tip ends

Also Published As

Publication number Publication date
US8709936B2 (en) 2014-04-29
US20140124954A1 (en) 2014-05-08
US8970011B2 (en) 2015-03-03
US20140035109A1 (en) 2014-02-06

Similar Documents

Publication Publication Date Title
US8970011B2 (en) Method and structure of forming backside through silicon via connections
US11830838B2 (en) Conductive barrier direct hybrid bonding
US9997497B2 (en) Through silicon via structure
US8421238B2 (en) Stacked semiconductor device with through via
TWI525776B (en) Optimized annular copper tsv
US8846523B2 (en) Process of forming through-silicon via structure
US8394715B2 (en) Method of fabricating coaxial through-silicon via
US8679971B2 (en) Metal-contamination-free through-substrate via structure
JP5345077B2 (en) Through-silicon via with low-k dielectric liner
US8609529B2 (en) Fabrication method and structure of through silicon via
US20110108986A1 (en) Through-silicon via structure and a process for forming the same
US20110260297A1 (en) Through-substrate via and fabrication method thereof
WO2024021356A1 (en) Tsv electrical connection structure having high aspect ratio and manufacturing method therefor
US11315904B2 (en) Semiconductor assembly and method of manufacturing the same
CN110783265A (en) Semiconductor device and manufacturing method thereof
US20230013176A1 (en) Semiconductor package and method of manufacturing the same
US20240055323A1 (en) Semiconductor device interconnects having conductive annulus-stabilized through-silicon vias
US20230377968A1 (en) Redistribution layer metallic structure and method
KR20230059653A (en) Manufacturing method for semiconductor device
CN115602610A (en) Semiconductor structure and preparation method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FAROOQ, MUKTA G.;VOLANT, RICHARD P.;REEL/FRAME:034503/0711

Effective date: 20140107

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117