CN103227202A - FinFET体接触件及其制造方法 - Google Patents

FinFET体接触件及其制造方法 Download PDF

Info

Publication number
CN103227202A
CN103227202A CN2013100219160A CN201310021916A CN103227202A CN 103227202 A CN103227202 A CN 103227202A CN 2013100219160 A CN2013100219160 A CN 2013100219160A CN 201310021916 A CN201310021916 A CN 201310021916A CN 103227202 A CN103227202 A CN 103227202A
Authority
CN
China
Prior art keywords
shaped part
fin
source
drain regions
grid structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2013100219160A
Other languages
English (en)
Other versions
CN103227202B (zh
Inventor
娄经雄
李介文
林文杰
曾仁洲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103227202A publication Critical patent/CN103227202A/zh
Application granted granted Critical
Publication of CN103227202B publication Critical patent/CN103227202B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0255Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using diodes as protective elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

一种半导体器件可以包括用于ESD保护的位于finFET器件上的体接触件。半导体器件包括半导体鳍状件、源极/漏极区和体接触件。源极/漏极区和体接触件位于半导体鳍状件中。鳍状件的一部分在横向上位于源极/漏极区和体接触件之间。半导体鳍状件位于衬底上。本发明还提供了一种FinFET体接触件及其制造方法。

Description

FinFET体接触件及其制造方法
技术领域
本发明涉及半导体领域,更具体地,本发明涉及一种FinFET体接触件及其制造方法。
背景技术
晶体管是现代集成电路的关键组件。为了满足日益增加的更快速度的要求,晶体管的驱动电流需要日益增大。由于晶体管的驱动电流与晶体管的栅极宽度成比例,优选具有较大宽度的晶体管。
然而,栅极宽度的增加与减小半导体器件的尺寸的要求冲突。从而,开发了鳍状件场效应晶体管(finFET)。
finFET的引入具有增加驱动电流而不以占用更多芯片面积为代价的有利特征。然而,finFET晶体管产生关于静电放电(ESD)性能的大量问题。
发明内容
为了解决现有技术中所存在的问题,根据本发明的一个方面,提供了一种半导体器件,包括:衬底;位于所述衬底之上凸起的鳍状件;所述鳍状件包括:所述鳍状件中的第一源极/漏极区;所述鳍状件中的第一体接触件;以及所述鳍状件的第一部分,所述第一部分在横向上位于所述第一源极/漏极区和所述第一体接触件之间。
在该半导体器件中,所述第一部分仅包括鳍状件材料。
在该半导体器件中,所述鳍状件包含第一导电类型的掺杂物,所述第一源极/漏极区包含第二导电类型的掺杂物,所述第一体接触件包含所述第一导电类型的掺杂物。
在该半导体器件中,所述第一导电类型是p型,并且所述第二导电类型是n型。
在该半导体器件中,所述鳍状件进一步包括:位于所述鳍状件上方的第一栅极结构,其中,所述第一栅极结构直接位于所述鳍状件的所述第一部分的上方。
在该半导体器件中,所述鳍状件进一步包括:所述鳍状件中的第二源极/漏极区,所述第二源极/漏极区在与所述第一体接触件相反的方向上与所述第一源极/漏极区分横向隔开;以及位于所述鳍状件上方的第二栅极结构,其中,所述第二栅极结构在横向上位于所述第一源极/漏极区和所述第二源极/漏极区之间。
在该半导体器件中,所述鳍状件进一步包括:所述鳍状件中的第三源极/漏极区,所述第三源极/漏极区在与所述第一源极/漏极区相反的方向上与所述第二源极/漏极区分横向隔开;位于所述鳍状件上方的第三栅极结构,其中,所述第三栅极结构在横向上位于所述第二源极/漏极区和所述第三源极/漏极区之间;所述鳍状件中的第二体接触件;所述鳍状件的第二部分,所述第二部分在横向上位于所述第三源极/漏极区和所述第二体接触件之间;以及位于所述鳍状件上方的第四栅极结构,其中,所述第四栅极结构直接位于所述鳍状件的第二部分的上方。
在该半导体器件中,所述鳍状件进一步包括:所述鳍状件中的第一隔离区,其中,所述第一隔离区邻近所述鳍状件的所述第一部分的相对侧上的所述第一体接触件;位于所述鳍状件上方的第五栅极结构,其中,所述第五栅极结构部分位于所述第一体接触件的上方并且部分位于所述第一隔离区的上方;所述鳍状件中的第二隔离区,其中,所述第二隔离区邻近所述鳍状件的所述第二部分的相对侧上的所述第二体接触件;以及位于所述鳍状件上方的第六栅极结构,其中,所述第六栅极结构部分位于所述第二体接触件的上方并且部分位于所述第二隔离区的上方。
在该半导体器件中,所述第一栅极结构、所述第四栅极结构、所述第五栅极结构和所述第六栅极结构是伪栅极结构。
根据本发明的另一方面,提供了一种半导体器件,包括:衬底;从所述衬底延伸的第一半导体鳍状件,其中,所述第一半导体鳍状件包括:所述第一半导体鳍状件中的第一源极/漏极区;所述第一半导体鳍状件中的第二源极/漏极区;第一栅极结构,位于所述第一半导体鳍状件的顶面和侧壁上,其中,所述第一栅极结构在横向上位于所述第一源极/漏极区和所述第二源极/漏极区之间;第一体接触件,位于所述第一半导体鳍状件中;以及第二栅极结构,位于所述第一半导体鳍状件的顶面和侧壁上,其中,所述第二栅极结构在横向上位于所述第一源极/漏极区和所述第一体接触件之间。
在该半导体器件中,所述第一半导体鳍状件包含第一导电类型的掺杂物,所述第一源极/漏极区和所述第二源极/漏极区包含第二导电类型的掺杂物,并且所述第一体接触件包含所述第一导电类型的掺杂物。
在该半导体器件中,所述第一导电类型是p型,并且所述第二导电类型是n型。
在该半导体器件中,所述第一半导体鳍状件进一步包括:所述鳍状件中的第二体接触件;以及第三栅极结构,位于所述鳍状件的顶面和侧壁上,其中,所述第三栅极结构横向隔离所述第二源极/漏极区和所述第二体接触件。
在该半导体器件中,所述第一半导体鳍状件进一步包括:所述鳍状件中的第一隔离区,其中,所述第一隔离区邻近所述第一源极/漏极区的相对侧上的所述第一体接触件;位于所述鳍状件上方的第四栅极结构,其中,所述第四栅极结构部分位于所述第一体接触件的上方并且部分位于所述第一隔离区的上方;所述鳍状件中的第二隔离区,其中,所述第二隔离区邻近所述第二源/漏极区的相对侧上的所述第二体接触件;以及位于所述鳍状件上方的第五栅极结构,其中,所述第五栅极结构部分位于所述第二体接触件的上方并且部分位于所述第二隔离区的上方。
在该半导体器件中,所述第二栅极结构、所述第三栅极结构、所述第四栅极结构和所述第五栅极结构是伪栅极结构。
在该半导体器件中,所述半导体器件进一步包括:所述衬底中的第三体接触件,其中,所述第三体接触件在横向上与所述第一半导体鳍状件分隔开并且平行于所述第一半导体鳍状件;以及所述衬底中的第三隔离区,其中,所述第三隔离区在横向上位于所述第一半导体鳍状件和所述第三体接触件之间。
在该半导体器件中,所述半导体器件进一步包括:从所述衬底延伸的第二半导体鳍状件,其中,所述第二半导体鳍状件在与所述第三体接触件相反的方向上与所述第一半导体鳍状件横向分隔开,所述第二半导体鳍状件平行于所述第一半导体鳍状件,并且所述第一栅极结构、所述第二栅极结构、所述第三栅极结构、所述第四栅极结构和所述第五栅极结构位于所述第二半导体鳍状件的顶面和侧壁上;从所述衬底延伸的第三半导体鳍状件,其中,所述第三半导体鳍状件在与所述第一半导体鳍状件相反的方向上与所述第二半导体鳍状件横向分隔开,所述第三半导体鳍状件平行于所述第二半导体鳍状件,并且所述第一栅极结构、所述第二栅极结构、所述第三栅极结构、所述第四栅极结构和所述第五栅极结构位于所述第三半导体鳍状件的顶面和侧壁上;从所述衬底延伸的第四半导体鳍状件,其中,所述第四半导体鳍状件在与所述第二半导体鳍状件相反的方向上与所述第三半导体鳍状件横向分隔开,所述第四半导体鳍状件平行于所述第三半导体鳍状件,并且所述第一栅极结构、所述第二栅极结构、所述第三栅极结构、所述第四栅极结构和所述第五栅极结构位于所述第四半导体鳍状件的顶面和侧壁上;从所述衬底延伸的第五半导体鳍状件,其中,所述第五半导体鳍状件在与所述第三半导体鳍状件相反的方向上与所述第四半导体鳍状件横向分隔开,所述第五半导体鳍状件平行于所述第四半导体鳍状件,并且所述第一栅极结构、所述第二栅极结构、所述第三栅极结构、所述第四栅极结构和所述第五栅极结构位于所述第五半导体鳍状件的顶面和侧壁上;所述衬底中的第四体接触件,其中,所述第四体接触件在横向上与所述第五半导体鳍状件分隔开并且平行于所述第五半导体鳍状件;以及所述衬底中的第四隔离区,其中,所述第四隔离区在横向上位于所述第五半导体鳍状件和所述第四体接触件之间。
根据本发明的又一方面,提供了一种形成半导体结构的方法,所述方法包括:在衬底上形成半导体鳍状件;在所述半导体鳍状件的顶面和侧壁上形成第一栅极结构;在所述半导体鳍状件的顶面和侧壁上形成第二栅极结构,其中,所述第二栅极结构在横向上与所述第一栅极结构分隔开;在所述半导体鳍状件的顶面和侧壁上形成第三栅极结构,其中,所述第三栅极结构在与所述第二栅极结构相反的方向上与所述第一栅极结构横向分隔开;在所述半导体鳍状件中形成第一源极/漏极区,其中,所述第一源极/漏极区在横向上位于所述第一栅极结构和所述第二栅极结构之间;在所述半导体鳍状件中形成第二源极/漏极区,其中,所述第二源极/漏极区在横向上位于所述第一栅极结构和所述第三栅极结构之间;以及在所述半导体鳍状件中形成第一体接触件,其中,所述第一体接触件在横向上与第一源极/漏极区分隔开,并且所述第二栅极结构在横向上位于所述第一源极/漏极区和所述第一体接触件之间。
在该方法中,形成所述第一源极/漏极区包括:图案化所述半导体鳍状件,以形成第一凹部;以及在所述第一凹部中外延生长所述第一源极/漏极区。
在该方法中,形成所述半导体结构进一步包括:在所述半导体鳍状件中形成第二体接触件,其中,所述第二体接触件在横向上与第二源极/漏极区分隔开,并且所述第三栅极结构在横向上位于所述第二源/漏极区和所述第二体接触件之间。
附图说明
为了全面理解本公开及其优点,现在结合附图进行以下描述作为参考,其中:
图1A和图1B分别在立体图和横截面图中示出根据实施例的finFET;
图2A至图8示出图1A和图1B中所示的结构的制造的步骤;
图9在横截面图中示出finFET器件结构的第二示意性实施例;以及
图10A和图10B分别在俯视图和横截面图中示出finFET器件结构的第二示意性实施例。
具体实施方式
将参考图2A至图8描述形成鳍状件器件的多个步骤。现在对附图中所示的实施例详细地作出参考。当可能时,在图和说明书中使用相同参考数字,以指示相同或类似部分。在图中,为了清楚和方便起见,形状和厚度可以被放大。该说明将特别用于形成根据本发明的方法和装置的部件或者与其更直接结合的元件。将理解,未特别示出或描述的元件可以采用本领域技术人员熟知的多种形式。一旦由本发明进行了说明,多种改变和修改对于本领域技术人员来说是显而易见的。
贯穿本说明书,“一个实施例”或“一实施例”的参考意味着,结合实施例描述的特定特征、结构或特性包括在至少一个实施例中。从而,贯穿本说明书,在多个地方出现的短语“在一个实施例中”或“在一实施例中”不必须所有都指相同实施例。而且,特定特征、结构或特性可以以任何合适方式结合在一个或多个实施例中。将想到,以下图不按比例绘制,而是,这些图仅用于说明。
图1A示出finFET器件50的立体图,其包括衬底110、衬底110之上的鳍状件120、围绕鳍状件120的隔离区130、鳍状件120之上的栅极180、源极/漏极区140、以及体接触件150。在一个实施例中,衬底110可以是体衬底(bulk substrate),诸如在CMOS制造处理中通常采用的体硅晶圆。可替换地,衬底110可以是化合物衬底(诸如,绝缘体上硅(SOI)衬底)、或由诸如锗、砷化镓、III-V材料等的其他材料形成的另一个体衬底或化合物半导体衬底。在图中仅示出衬底110的一部分,这足以充分描述示意性实施例。
鳍状件120被形成为在衬底110之上延伸的垂直硅鳍状件,并且被用于形成源极/漏极区140、源极和漏极区之间的沟道区(未示出)、以及体接触件150。栅极介电层(未示出)可以在沟道区中形成。然后,栅极180围绕鳍状件120形成并且围绕沟道区中的鳍状件120。FinFET器件150还可以包括在鳍状件120之上的四个伪栅极182(为了清楚起见,在图1A中未示出),两个伪栅极182在栅极180的每一侧上。
隔离区130可以是浅沟槽隔离(STI)区,并且可以通过蚀刻衬底110以形成沟槽并且用介电材料填充沟槽形成。根据一个实施例,隔离区可以用介电材料填充,诸如,氧化物材料、高密度等离子体(HDP)氧化物等。
图1B是从沿着图1的X-X线的Z平面的finFET器件50的实施例的横截面图。图1B示出finFET器件50的实施例,其包括栅极180和在鳍状件120之上的四个伪栅极182,每个栅极都包括栅极和鳍状件120之间的介电层170和栅极的两侧上的栅极隔离结构190。在可选实施例中,鳍状件材料是掺杂有合适掺杂杂质的硅。在栅极180的每侧上存在两个伪栅极182。最接近栅极180的两个伪栅极182可以用于源极/漏极区140和体接触件150的自对准掺杂物注入。源极/漏极区140被掺杂,以使鳍状件120的这些部分导电。体接触件150也被掺杂,以使鳍状件120的这些部分导电,但是它们可以通过与源极/漏极区140相反的导电类型被掺杂。例如,如果源极/漏极区140被掺杂有n型掺杂物,体接触件150可以掺杂有p型掺杂物。在另一个实施例中,源极/漏极区140和体接触件150可以通过首先形成凹部并且然后通过选择性外延生长(SEG)外延生长源极/漏极区140和体接触件150形成。在一个实施例中,可以采用非选择性外延生长。源极/漏极区140和体接触件150可以通过下述注入方法或者通过随着材料生长进行原位掺杂而被掺杂。源极/漏极区140和体接触件150可以包括电极层160。电极层160可以包括导电材料,并且可以选自包括多晶硅(poly-Si)、多晶硅锗(poly-SiGe)、金属氮化物、金属硅化物、金属氧化物、以及金属的组。
外侧伪栅极182可以部分位于隔离区130上并且部分位于体接触件150上。在另一个实施例中,外侧伪栅极182可以整体位于隔离区130上。在又一个实施例中,外侧伪栅极182可以整体位于体接触件150上。四个伪栅极182可以用于提供诸如化学机械抛光(CMP)的栅极的平坦化处理的更均匀密度。
图2A至图8示出根据一个实施例的形成finFET器件的工艺。附图是从沿着图1中的Y-Y线或X-X线的Z平面的横截面图。每个附图都显示横截面图来源于的轴。虽然本实施例通过以特定顺序执行的步骤论述,但是步骤可以以任何逻辑顺序执行。
图2A和图2B示出在处理期间的一些点处的finFET器件。器件包括衬底110上的半导体层210。如上所述,半导体层210可以包括体硅或绝缘体上硅(SOI)衬底的有源层。半导体层210可以通过注入处理220被掺杂,以将p型或n型杂质引入半导体层210中。
在图3A和图3B中,鳍状件120通过图案化半导体层210形成。鳍状件图案化工艺可以通过在半导体层210之上沉积诸如光刻胶或氧化硅的通用掩模材料(未示出)实现。然后,掩模材料被图案化,并且半导体层210根据图案被蚀刻。以此方式,可以形成在衬底之上的半导体鳍状件的半导体结构。如图3B中所示,鳍状件120沿着图1的线X-X延伸。在可选实施例中,鳍状件120可以在图案化层顶部衬底110中形成的沟槽或开口内从衬底110的顶面外延生长。由于该工艺在本领域中已知,所以在此不再重复详情。在一个实施例中,如图3A和图3B中所示,鳍状件120可以具有约2nm和20nm之间的宽度320和7nm和50nm之间的高度310。
图4A和图4B示出栅极介电层170的形成。栅极介电层170可以通过热氧化、CVD、溅射、或本领域中已知和使用的用于形成栅极电介质的任何其他方法形成。在其他实施例中,栅极介电层170包括具有高介电常数(k值)的介电材料,例如,大于3.9。材料可以包括氮化硅、氮氧化物、诸如HfO2、HfZrOx、HfSiOx、HfTiOx、HfAlOx等的金属氧化物、以及其结合和多层。在另一个实施例中,栅极介电层170可以具有选自诸如氮化钛、氮化钽、或氮化钼的金属氮化物材料的覆盖层,厚度从1nm到20nm。
在图5A和图5B中,栅电极层510可以形成在栅极介电层170上方。栅电极层510可以包括导电材料并且可以选自由多晶硅(poly-Si)、多晶硅-锗(poly-SiGe)、金属氮化物、金属硅化物、金属氧化物、以及金属的组。金属氮化物的实例包括氮化钨、氮化钼、氮化钛、以及氮化钽、或其组合。金属硅化物的实例包括硅化钨、硅化钛、硅化钴、硅化镍、硅化铂、硅化铒、或其组合。金属氧化物的实例包括氧化钌、氧化铟锡、或其组合。金属的实例包括钨、钛、铝、铜、钼、镍、铂等。
栅电极层510可以通过CVD、溅射沉积、或本领域中已知并且使用用于沉积导电材料的其他技术来沉积。栅电极层510的厚度可以在约200埃至约4000埃的范围内。栅电极层510的顶面通常具有非平坦顶面,并且可以在栅电极层510的图案化或栅极蚀刻之前被平坦化。这里,离子可以引入或可以不被引入到栅电极层510中。离子可以例如通过离子注入技术被引入。
图6示出栅电极层510和栅电极层170的图案化,以形成栅极180和伪栅极182。栅极可以通过使用例如本领域中已知的沉积和光刻技术在栅电极层510上沉积和图案化栅极掩模(未示出)形成。栅极掩模可以结合通常使用的掩模材料(masking material),诸如(但不限于)光刻材料、氧化硅、氮氧化硅、和/或氮化硅。栅电极层510和栅极介电层170可以使用等离子体蚀刻被蚀刻,以形成如图6中所示的经过图案化的栅极。在另一个实施例中,不形成外侧伪栅极182,而是仅形成源极/漏极区140和体接触件150之间的伪栅极182。
在图7中,示出源极/漏极区140和体接触件150的形成。源极/漏极区140和体接触件150可以通过执行注入处理710以注入合适掺杂物而被掺杂,以补充鳍状件120中的掺杂物。在一个实施例中,鳍状件120被注入(如以上参考图2A和图2B论述的)p型掺杂物,诸如硼、镓、铟等,源极/漏极区被注入n型掺杂物,诸如磷、砷、锑等,体接触件150被注入p型掺杂物。源极/漏极区140使用栅极180作为掩模被注入,并且体接触件150使用伪栅极182作为掩模被注入。在一些实施例中,经过掺杂的源极/漏极区140和经过掺杂的体接触件150可以被退火,以促进掺杂杂质到鳍状件120的扩散。在一个实施例中,如图7中所示,源极/漏极区140和最接近的体接触件150可以具有约80nm和400nm之间的距离720。
体接触件150有助于提供用于源极/漏极区140的静电放电(ESD)保护。当体接触件150被p型掺杂并且源极/漏极区140被n型掺杂时,在源极/漏极区140和最接近的体接触件150之间的鳍状件120区域中形成pn结。该pn结形成从体接触件150到源极/漏极区140的寄生体二极管,其允许体接触件150通过低导通电阻执行ESD保护。体接触件150可以连接至ESD放电电路,诸如,接地或电源电压。例如,在示意性实施例中,鳍状件120被p型掺杂,源极/漏极区140被n型掺杂,体接触件150被p型掺杂,并且源极/漏极区140和体接触件150之间的距离720(参见图7)约为200nm。如本领域技术人员能想到的,距离720可以根据器件的技术节点和电路的要求而改变。
在另一个实施例中,源极/漏极区140和体接触件150可以通过在鳍状件120中形成凹部(未示出)并且在凹部中外延生长材料形成。在一个实施例中,凹部可以通过各向异性蚀刻形成。可选地,凹部可以通过各向同性定向依赖性蚀刻工艺(isotropic orientation dependent etching process)形成,其中,四甲基氢氧化铵(TMAH)等可以被用作蚀刻剂。在形成凹部之后,源极/漏极区140和体接触件150可以通过在凹部中外延生长材料形成。在外延工艺期间,诸如HCl气体的蚀刻气体可以被添加(作为蚀刻气体)到工艺气体中,使得源极/漏极区140和体接触件150在凹部中但不在栅极上被选择性地生长。在可选实施例中,不添加蚀刻气体,或者蚀刻气体的量很小,使得存在源极/漏极区140的薄层和形成在衬底栅极上的体接触件150。在又一个实施例中,栅极180和伪栅极182可以用牺牲层(sacrificial layer,未示出)覆盖,以防止在其上外延生长。源极/漏极区140和体接触件150可以通过如上所述的注入方法或者通过随着生长材料进行原位掺杂而被掺杂。
源极/漏极区140和体接触件150的形成方法可以包括原子层沉积(ALD)、化学汽相沉积(CVD),诸如减压CVD(RPCVD)、有机金属化学汽相沉积(MOCVD)、或其他可应用方法。根据源极/漏极区140和体接触件150的期望成分,用于外延的前体可以包括含Si气体和含Ge气体,诸如,SiH4和GeH4等,并且含Si气体和含Ge气体的部分压力被调节,以修改锗与硅的原子比率。
在另一个实施例中,源极/漏极区140被形成,以在栅极180下面的沟道区上施加应变。在鳍状件120包括硅的实施例中,然后,源极/漏极区140可以通过诸如硅锗、碳化硅等具有不同于硅的晶格常数的材料经由SEG工艺形成。应激源材料源极/漏极区140和栅极180下面形成的沟道区之间的晶格错位将应力施加到沟道区中,这将增加载流子移动性和器件的整体性能。源极/漏极区140可以通过上述注入方法或者通过随着生长材料进行原位掺杂而被掺杂。
图8示出在栅极的相对侧上的栅极隔离结构190的形成和电极层160的形成。栅极隔离结构190通常通过在先前形成的结构中覆盖沉积隔离结构层(未示出)形成。隔离结构层可以包括SiN、氮氧化物、SiC、SiON、氧化物等形成,并且可以通过用于形成这样的层的方法形成,诸如,化学汽相沉积(CVD)、等离子体增强CVD、溅射、以及本领域中已知的其他方法。然后,栅极隔离结构190优选通过各向异性蚀刻被图案化,以从结构的水平面去除隔离结构层。
电极层160可以包括导电材料,并且可以选自包括多晶硅(poly-Si)、多晶硅锗(poly-SiGe)、金属氮化物、金属硅化物、金属氧化物、以及金属的组。电极层160可以通过CVD、溅射沉积、或用于沉积导电材料的本领域中已知和使用的其他技术进行沉积。
在另一个实施例中,源极/漏极区140可以包括轻掺杂区和重掺杂区。在该实施例中,在形成栅极隔离结构190之前,源极/漏极区140可以被轻掺杂。在形成栅极隔离结构190之后,源极/漏极区140可以被重掺杂。这样形成轻掺杂区和重掺杂区。轻掺杂区主要在栅极隔离结构190之下,同时重掺杂区在沿着鳍状件120的栅极隔离结构190的外侧。
图9示出第二实施例的横截面图,其中,finFET器件包括具有三个源极/漏极区140的双栅配置。在该实施例中,一个源极/漏极区140横向分隔开两个栅极180,并且两个其他源极/漏极区在两个栅极180的外侧上。类似于图7中的实施例,外部源极/漏极区140在横向上与最接近的体接触件150隔离距离720。
图9中的实施例可以开始如图2A至图5B中所示那样形成。在沉积栅电极层510(参见图5A和图5B)之后,栅电极层510被图案化,以形成两个栅极180和四个伪栅极182(参见图9)。在形成栅极180和伪栅极182之后,源极/漏极区140、体接触件150、栅极隔离结构190、以及电极层160可以被形成。源极/漏极区140、体接触件150、栅极隔离结构190、以及电极层160的形成在以上参考图7和图8进行了描述,因此在此不再重复。
图10A示出finFET器件的实施例的俯视图(top-down view),其中,器件具有在鳍状件结构之上和之下的衬底体接触件910。如图10A中所示,衬底体接触件910与鳍状件120和栅极180和182通过隔离区130分隔开。衬底体接触件910有助于提供对finFET器件的ESD保护。图10A示出相互基本平行的相互隔离的五个鳍状件120。每个鳍状件120都具有源极/漏极区140和体接触件150。另外,每个鳍状件120的源极/漏极区140和体接触件150都在伪栅极182的相对侧上。栅极180和伪栅极182基本相互平行并且垂直于鳍状件120。图10B是沿着图10A的线YY的横截面图。图10B示出衬底体接触件910与鳍状件120和伪栅极182通过隔离区130分隔开。
尽管已经详细地描述了本发明及其优势,但应该理解,可以在不背离所附权利要求限定的本发明主旨和范围的情况下,做各种不同的改变,替换和更改。而且,本申请的范围并不仅限于本说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员应理解,通过本发明,现有的或今后开发的用于执行与根据本发明所采用的所述相应实施例基本相同的功能或获得基本相同结果的工艺、机器、制造,材料组分、装置、方法或步骤根据本发明可以被使用。因此,所附权利要求应该包括在这样的工艺、机器、制造、材料组分、装置、方法或步骤的范围内。

Claims (10)

1.一种半导体器件,包括:
衬底;
位于所述衬底之上凸起的鳍状件;所述鳍状件包括:
所述鳍状件中的第一源极/漏极区;
所述鳍状件中的第一体接触件;以及
所述鳍状件的第一部分,所述第一部分在横向上位于所述第一源极/漏极区和所述第一体接触件之间。
2.根据权利要求1所述的半导体器件,其中,所述第一部分仅包括鳍状件材料。
3.根据权利要求1所述的半导体器件,其中,所述鳍状件包含第一导电类型的掺杂物,所述第一源极/漏极区包含第二导电类型的掺杂物,所述第一体接触件包含所述第一导电类型的掺杂物。
4.根据权利要求3所述的半导体器件,其中,所述第一导电类型是p型,并且所述第二导电类型是n型。
5.根据权利要求1所述的半导体器件,其中,所述鳍状件进一步包括:位于所述鳍状件上方的第一栅极结构,其中,所述第一栅极结构直接位于所述鳍状件的所述第一部分的上方。
6.根据权利要求5所述的半导体器件,其中,所述鳍状件进一步包括:
所述鳍状件中的第二源极/漏极区,所述第二源极/漏极区在与所述第一体接触件相反的方向上与所述第一源极/漏极区分横向隔开;以及
位于所述鳍状件上方的第二栅极结构,其中,所述第二栅极结构在横向上位于所述第一源极/漏极区和所述第二源极/漏极区之间。
7.根据权利要求6所述的半导体器件,其中,所述鳍状件进一步包括:
所述鳍状件中的第三源极/漏极区,所述第三源极/漏极区在与所述第一源极/漏极区相反的方向上与所述第二源极/漏极区分横向隔开;
位于所述鳍状件上方的第三栅极结构,其中,所述第三栅极结构在横向上位于所述第二源极/漏极区和所述第三源极/漏极区之间;
所述鳍状件中的第二体接触件;
所述鳍状件的第二部分,所述第二部分在横向上位于所述第三源极/漏极区和所述第二体接触件之间;以及
位于所述鳍状件上方的第四栅极结构,其中,所述第四栅极结构直接位于所述鳍状件的第二部分的上方。
8.根据权利要求7所述的半导体器件,其中,所述鳍状件进一步包括:
所述鳍状件中的第一隔离区,其中,所述第一隔离区邻近所述鳍状件的所述第一部分的相对侧上的所述第一体接触件;
位于所述鳍状件上方的第五栅极结构,其中,所述第五栅极结构部分位于所述第一体接触件的上方并且部分位于所述第一隔离区的上方;
所述鳍状件中的第二隔离区,其中,所述第二隔离区邻近所述鳍状件的所述第二部分的相对侧上的所述第二体接触件;以及
位于所述鳍状件上方的第六栅极结构,其中,所述第六栅极结构部分位于所述第二体接触件的上方并且部分位于所述第二隔离区的上方。
9.一种半导体器件,包括:
衬底;
从所述衬底延伸的第一半导体鳍状件,其中,所述第一半导体鳍状件包括:
所述第一半导体鳍状件中的第一源极/漏极区;
所述第一半导体鳍状件中的第二源极/漏极区;
第一栅极结构,位于所述第一半导体鳍状件的顶面和侧壁上,其中,所述第一栅极结构在横向上位于所述第一源极/漏极区和所述第二源极/漏极区之间;
第一体接触件,位于所述第一半导体鳍状件中;以及
第二栅极结构,位于所述第一半导体鳍状件的顶面和侧壁上,其中,所述第二栅极结构在横向上位于所述第一源极/漏极区和所述第一体接触件之间。
10.一种形成半导体结构的方法,所述方法包括:
在衬底上形成半导体鳍状件;
在所述半导体鳍状件的顶面和侧壁上形成第一栅极结构;
在所述半导体鳍状件的顶面和侧壁上形成第二栅极结构,其中,所述第二栅极结构在横向上与所述第一栅极结构分隔开;
在所述半导体鳍状件的顶面和侧壁上形成第三栅极结构,其中,所述第三栅极结构在与所述第二栅极结构相反的方向上与所述第一栅极结构横向分隔开;
在所述半导体鳍状件中形成第一源极/漏极区,其中,所述第一源极/漏极区在横向上位于所述第一栅极结构和所述第二栅极结构之间;
在所述半导体鳍状件中形成第二源极/漏极区,其中,所述第二源极/漏极区在横向上位于所述第一栅极结构和所述第三栅极结构之间;以及
在所述半导体鳍状件中形成第一体接触件,其中,所述第一体接触件在横向上与第一源极/漏极区分隔开,并且所述第二栅极结构在横向上位于所述第一源极/漏极区和所述第一体接触件之间。
CN201310021916.0A 2012-01-31 2013-01-21 FinFET体接触件及其制造方法 Active CN103227202B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/363,026 2012-01-31
US13/363,026 US8735993B2 (en) 2012-01-31 2012-01-31 FinFET body contact and method of making same

Publications (2)

Publication Number Publication Date
CN103227202A true CN103227202A (zh) 2013-07-31
CN103227202B CN103227202B (zh) 2015-11-18

Family

ID=48837574

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310021916.0A Active CN103227202B (zh) 2012-01-31 2013-01-21 FinFET体接触件及其制造方法

Country Status (3)

Country Link
US (3) US8735993B2 (zh)
KR (1) KR101372052B1 (zh)
CN (1) CN103227202B (zh)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103855219A (zh) * 2012-11-30 2014-06-11 三星电子株式会社 包括在有源鳍之间的突出绝缘部分的半导体器件
CN103872102A (zh) * 2012-12-14 2014-06-18 台湾积体电路制造股份有限公司 具有嵌入式MOS变容二极管的FinFET及其制造方法
CN106531737A (zh) * 2015-09-15 2017-03-22 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN106952901A (zh) * 2016-01-06 2017-07-14 中芯国际集成电路制造(北京)有限公司 静电放电保护结构及其形成方法
CN106972054A (zh) * 2015-12-17 2017-07-21 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN106992173A (zh) * 2016-01-05 2017-07-28 三星电子株式会社 包括场效应晶体管的半导体器件
CN107004713A (zh) * 2014-12-24 2017-08-01 英特尔公司 形成具有非对称外形的鳍状物结构的装置和方法
CN104051538B (zh) * 2013-03-15 2017-08-29 格罗方德半导体公司 具有体接触的鳍部fet装置及形成具有该体接触的该鳍部fet装置的方法
CN107316904A (zh) * 2016-04-25 2017-11-03 台湾积体电路制造股份有限公司 FinFET及其形成方法
CN107887379A (zh) * 2016-09-30 2018-04-06 中芯国际集成电路制造(上海)有限公司 静电放电保护结构及其形成方法
CN108063133A (zh) * 2017-11-24 2018-05-22 中国科学院上海微系统与信息技术研究所 一种基于soi工艺的静电保护器件及其构成的静电保护电路
CN108231888A (zh) * 2016-12-14 2018-06-29 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN108400136A (zh) * 2017-02-08 2018-08-14 格芯公司 具有肖特基二极管的finfet esd装置
WO2019014957A1 (en) * 2017-07-18 2019-01-24 Hong Kong Applied Science and Technology Research Institute Company Limited FIELD FIELD EFFECT TRANSISTOR
CN109728071A (zh) * 2017-10-30 2019-05-07 台湾积体电路制造股份有限公司 掺杂具有导电部件的半导体器件
CN110890279A (zh) * 2018-09-11 2020-03-17 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
TWI722118B (zh) * 2016-04-01 2021-03-21 美商英特爾公司 積體電路及製造其之方法
WO2022041235A1 (zh) * 2020-08-31 2022-03-03 华为技术有限公司 鳍式场效应管、esd保护电路、滤波电路以及电子设备

Families Citing this family (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US8906759B2 (en) * 2013-02-25 2014-12-09 International Business Machines Corporation Silicon nitride gate encapsulation by implantation
US9299699B2 (en) * 2013-03-13 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate and complementary varactors in FinFET process
US9634000B2 (en) * 2013-03-14 2017-04-25 International Business Machines Corporation Partially isolated fin-shaped field effect transistors
US9142674B2 (en) * 2013-03-15 2015-09-22 GlobalFoundries, Inc. FINFET devices having a body contact and methods of forming the same
KR20140132179A (ko) * 2013-05-07 2014-11-17 삼성전자주식회사 더미 게이트 및 게이트를 갖는 반도체 소자
JP6281572B2 (ja) * 2013-09-04 2018-02-21 株式会社ソシオネクスト 半導体装置
US9646872B2 (en) 2013-11-13 2017-05-09 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US9368626B2 (en) 2013-12-04 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with strained layer
US9165936B2 (en) * 2014-01-14 2015-10-20 Broadcom Corporation Dummy end-gate based anti-fuse device for finFET technologies
US9048303B1 (en) * 2014-01-30 2015-06-02 Infineon Technologies Austria Ag Group III-nitride-based enhancement mode transistor
US9337279B2 (en) 2014-03-03 2016-05-10 Infineon Technologies Austria Ag Group III-nitride-based enhancement mode transistor
US9281192B2 (en) 2014-03-13 2016-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMP-friendly coatings for planar recessing or removing of variable-height layers
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9236446B2 (en) 2014-03-13 2016-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Barc-assisted process for planar recessing or removing of variable-height layers
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US10177133B2 (en) 2014-05-16 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain contact having height below gate stack
KR102195230B1 (ko) 2014-06-03 2020-12-24 삼성전자주식회사 정전기 보호 소자
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9966471B2 (en) 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
KR102171023B1 (ko) 2014-07-21 2020-10-29 삼성전자주식회사 반도체 소자 제조방법
US9659827B2 (en) 2014-07-21 2017-05-23 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices by forming source/drain regions before gate electrode separation
US9614088B2 (en) 2014-08-20 2017-04-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
TWI600159B (zh) 2014-10-01 2017-09-21 聯華電子股份有限公司 半導體元件及其製作方法
KR102159924B1 (ko) 2014-10-14 2020-09-25 삼성전자 주식회사 Esd 보호 회로를 포함하는 반도체 장치
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
KR102264656B1 (ko) * 2014-10-17 2021-06-14 삼성전자주식회사 게이트 코어들 및 핀 액티브 코어를 포함하는 반도체 소자 및 그 제조 방법
US9508858B2 (en) 2014-11-18 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Contacts for highly scaled transistors
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9613850B2 (en) 2014-12-19 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique for feature cut by line-end shrink
US9508718B2 (en) * 2014-12-29 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET contact structure and method for forming the same
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
CN105826379B (zh) 2015-01-08 2020-06-09 联华电子股份有限公司 半导体结构及其制作方法
US9859115B2 (en) 2015-02-13 2018-01-02 National Taiwan University Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US9673112B2 (en) 2015-02-13 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor fabrication with height control through active region profile
US9502502B2 (en) 2015-03-16 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9525036B2 (en) 2015-03-19 2016-12-20 Samsung Electronics Co., Ltd. Semiconductor device having gate electrode with spacers on fin structure and silicide layer filling the recess
KR102365305B1 (ko) * 2015-03-27 2022-02-22 삼성전자주식회사 반도체 소자
US9698048B2 (en) 2015-03-27 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
KR102318393B1 (ko) 2015-03-27 2021-10-28 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
TWI638385B (zh) * 2015-03-31 2018-10-11 聯華電子股份有限公司 半導體裝置的圖案化結構及其製作方法
US9741829B2 (en) 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9576796B2 (en) 2015-05-15 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9761683B2 (en) 2015-05-15 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10062779B2 (en) 2015-05-22 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9368484B1 (en) 2015-05-28 2016-06-14 United Microelectronics Corp. Fin type electrostatic discharge protection device
KR102395073B1 (ko) 2015-06-04 2022-05-10 삼성전자주식회사 반도체 소자
EP3968365A1 (en) 2015-06-24 2022-03-16 Renesas Electronics Corporation Semiconductor device
KR102393321B1 (ko) * 2015-06-25 2022-04-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US10403744B2 (en) 2015-06-29 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US11424399B2 (en) 2015-07-07 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated thermoelectric devices in Fin FET technology
US9455331B1 (en) * 2015-07-10 2016-09-27 International Business Machines Corporation Method and structure of forming controllable unmerged epitaxial material
US9418886B1 (en) 2015-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming conductive features
US9536980B1 (en) 2015-07-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming same
US9564363B1 (en) 2015-08-19 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming butted contact
US9831090B2 (en) 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
US9698100B2 (en) 2015-08-19 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
US9721887B2 (en) 2015-08-19 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming metal interconnection
US9728402B2 (en) 2015-08-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable films and methods of forming flowable films
US9786602B2 (en) 2015-08-21 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of fabrication the same
US9490136B1 (en) 2015-08-31 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trench cut
US9847388B2 (en) 2015-09-01 2017-12-19 International Business Machines Corporation High thermal budget compatible punch through stop integration using doped glass
US9613856B1 (en) 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
TWI664709B (zh) * 2015-09-22 2019-07-01 聯華電子股份有限公司 半導體靜電放電保護元件
US9972529B2 (en) 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US10163797B2 (en) 2015-10-09 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Forming interlayer dielectric material by spin-on metal oxide deposition
US9735052B2 (en) 2015-10-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal lines for interconnect structure and method of manufacturing same
US9711533B2 (en) 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US9659864B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US9647116B1 (en) 2015-10-28 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating self-aligned contact in a semiconductor device
US9627531B1 (en) 2015-10-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor with dual vertical gates
US9818690B2 (en) 2015-10-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnection structure and method
KR102481480B1 (ko) * 2015-11-13 2022-12-26 삼성전자 주식회사 반도체 소자 및 그 제조 방법
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9633999B1 (en) 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process
US10164051B2 (en) 2015-11-16 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10340348B2 (en) 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US9773879B2 (en) 2015-11-30 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9873943B2 (en) 2015-12-15 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for spatial atomic layer deposition
US10163719B2 (en) 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
EP3182461B1 (en) * 2015-12-16 2022-08-03 IMEC vzw Method for fabricating finfet technology with locally higher fin-to-fin pitch
US9728501B2 (en) 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
DE102016116026B4 (de) 2015-12-29 2024-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und Herstellungsverfahren
US9887128B2 (en) 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9614086B1 (en) 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US11088030B2 (en) 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10115796B2 (en) 2016-01-07 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pulling-back sidewall metal layer
US10811262B2 (en) 2016-01-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
US9881872B2 (en) 2016-01-15 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a local interconnect in a semiconductor device
US10727094B2 (en) 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool
US10283605B2 (en) 2016-01-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd Self-aligned metal gate etch back process and device
US10163912B2 (en) 2016-01-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain proximity
US9812451B2 (en) 2016-02-03 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd Field effect transistor contact with reduced contact resistance
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9543161B1 (en) 2016-02-10 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of planarizating film
US9947756B2 (en) 2016-02-18 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9754822B1 (en) 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US9755019B1 (en) 2016-03-03 2017-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9570556B1 (en) 2016-03-03 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10056407B2 (en) 2016-03-04 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US9711402B1 (en) 2016-03-08 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact metal
US10109627B2 (en) 2016-03-08 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric
US9911611B2 (en) 2016-03-17 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming openings in a material layer
DE102016114724B4 (de) 2016-03-25 2021-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen und Vorrichtung
US9779984B1 (en) 2016-03-25 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming trenches with different depths
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US9847477B2 (en) 2016-04-12 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a bottom electrode of a magnetoresistive random access memory cell
US9805951B1 (en) 2016-04-15 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of integration process for metal CMP
US9893062B2 (en) 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10475847B2 (en) 2016-04-28 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stress-neutralized film stack and method of fabricating same
US9899266B2 (en) 2016-05-02 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11127629B2 (en) 2016-05-17 2021-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
US9893187B2 (en) 2016-05-24 2018-02-13 Samsung Electronics Co., Ltd. Sacrificial non-epitaxial gate stressors
US10431582B2 (en) * 2016-05-31 2019-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. High speed semiconductor device
US9917085B2 (en) 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US10276662B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming contact trench
US9941386B2 (en) 2016-06-01 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with fin structure and method for forming the same
US10109467B2 (en) 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Advanced exhaust system
US9627258B1 (en) 2016-06-15 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact
US10164032B2 (en) 2016-06-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10515822B2 (en) 2016-06-20 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing bottom layer wrinkling in a semiconductor device
KR102619874B1 (ko) * 2016-06-23 2024-01-03 삼성전자주식회사 불순물 영역을 갖는 반도체 소자
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
US9768064B1 (en) 2016-07-14 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
KR101728986B1 (ko) 2016-07-18 2017-04-20 박달수 간에 이로운 한약재 추출물을 이용한 식품 제조방법 및 그 식품
US9640540B1 (en) 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US9847418B1 (en) * 2016-07-26 2017-12-19 Globalfoundries Inc. Methods of forming fin cut regions by oxidizing fin portions
US9721805B1 (en) 2016-07-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US10121873B2 (en) 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US10522536B2 (en) 2016-08-03 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with gate stacks
US10043886B2 (en) 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process
US9991205B2 (en) 2016-08-03 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10164111B2 (en) 2016-08-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US9929271B2 (en) 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10510850B2 (en) 2016-08-03 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10269926B2 (en) 2016-08-24 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Purging deposition tools to reduce oxygen and moisture in wafers
US9997524B2 (en) 2016-08-24 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device and manufacturing method thereof
US9865697B1 (en) 2016-08-25 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9812580B1 (en) * 2016-09-06 2017-11-07 Qualcomm Incorporated Deep trench active device with backside body contact
US10304957B2 (en) 2016-09-13 2019-05-28 Qualcomm Incorporated FinFET with reduced series total resistance
US9812358B1 (en) 2016-09-14 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10043665B2 (en) 2016-11-28 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure with semiconductor nanowire
US10049930B2 (en) 2016-11-28 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and operation method thereof
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US9985134B1 (en) 2016-11-29 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US9881834B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Contact openings and methods forming same
US9837539B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
KR102651871B1 (ko) * 2016-11-29 2024-04-01 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US10008416B2 (en) 2016-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Forming a protective layer to prevent formation of leakage paths
US10707316B2 (en) 2016-12-09 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate structure
US10157781B2 (en) 2016-12-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure using polishing process
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10651171B2 (en) 2016-12-15 2020-05-12 Taiwan Semiconductor Manufacturing Co. Ltd. Integrated circuit with a gate structure and method making the same
US9972571B1 (en) 2016-12-15 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Logic cell structure and method
US10079289B2 (en) 2016-12-22 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10164106B2 (en) 2016-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9985023B1 (en) 2017-02-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9859364B1 (en) 2017-03-03 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10153198B2 (en) 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10475790B2 (en) * 2017-09-28 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Asymmetric gate pitch
US10096587B1 (en) * 2017-10-26 2018-10-09 Global Foundries Inc. Fin-based diode structures with a realigned feature layout
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10468530B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with source/drain multi-layer structure and method for forming the same
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10756114B2 (en) 2017-12-28 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor circuit with metal structure and manufacturing method
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10665506B2 (en) 2018-06-27 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced via bridging risk
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
CN110828380B (zh) * 2018-08-14 2022-06-17 中芯国际集成电路制造(上海)有限公司 静态存储单元的形成方法及静态存储单元
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11210447B2 (en) 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US11069793B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US11139203B2 (en) 2018-10-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Using mask layers to facilitate the formation of self-aligned contacts and vias
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11024650B2 (en) 2019-04-26 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and a method for fabricating the same
US11508822B2 (en) 2019-09-25 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain via having reduced resistance
US11443980B2 (en) 2019-09-27 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with metal pad extending into top metal layer
US11316029B2 (en) 2020-04-15 2022-04-26 International Business Machines Corporation Sacrificial fin for contact self-alignment
US11764220B2 (en) 2020-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device by patterning a serpentine cut pattern
US20210351272A1 (en) * 2020-05-07 2021-11-11 Etron Technology, Inc. Transistor structure and related inverter
US11769821B2 (en) 2020-05-15 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a corner spacer
US11658177B2 (en) * 2020-12-07 2023-05-23 Globalfoundries U.S. Inc. Semiconductor device structures with a substrate biasing scheme
US11489045B2 (en) 2021-03-30 2022-11-01 International Business Machines Corporation Nanosheet transistor with body contact
US11901440B2 (en) 2021-09-02 2024-02-13 International Business Machines Corporation Sacrificial fin for self-aligned contact rail formation

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060084211A1 (en) * 2004-10-19 2006-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a body contact in a finfet structure and a device including the same
CN101533843A (zh) * 2008-03-12 2009-09-16 索尼株式会社 半导体装置
US20110074498A1 (en) * 2009-09-30 2011-03-31 Suvolta, Inc. Electronic Devices and Systems, and Methods for Making and Using the Same
US20120007180A1 (en) * 2010-07-06 2012-01-12 Globalfoundries Singapore PTE, LTD. FinFET with novel body contact for multiple Vt applications
US20120012932A1 (en) * 2010-07-15 2012-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (finfet) device and method of manufacturing same

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100814391B1 (ko) 2006-10-10 2008-03-18 삼성전자주식회사 핀 트랜지스터를 포함하는 디램 장치의 구동 방법 및 디램장치
US20120112932A1 (en) * 2010-11-04 2012-05-10 Parker May Modifier keys in a keyboard
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060084211A1 (en) * 2004-10-19 2006-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a body contact in a finfet structure and a device including the same
CN101533843A (zh) * 2008-03-12 2009-09-16 索尼株式会社 半导体装置
US20110074498A1 (en) * 2009-09-30 2011-03-31 Suvolta, Inc. Electronic Devices and Systems, and Methods for Making and Using the Same
US20120007180A1 (en) * 2010-07-06 2012-01-12 Globalfoundries Singapore PTE, LTD. FinFET with novel body contact for multiple Vt applications
US20120012932A1 (en) * 2010-07-15 2012-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (finfet) device and method of manufacturing same

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10861934B2 (en) 2012-11-30 2020-12-08 Samsung Electronics Co., Ltd. Semiconductor devices including protruding insulation portions between active fins
CN103855219A (zh) * 2012-11-30 2014-06-11 三星电子株式会社 包括在有源鳍之间的突出绝缘部分的半导体器件
US10319814B2 (en) 2012-11-30 2019-06-11 Samsung Electronics Co., Ltd. Semiconductor devices including protruding insulation portions between active fins
US11955517B2 (en) 2012-11-30 2024-04-09 Samsung Electronics Co., Ltd. Semiconductor devices including protruding insulation portions between active fins
CN103872102A (zh) * 2012-12-14 2014-06-18 台湾积体电路制造股份有限公司 具有嵌入式MOS变容二极管的FinFET及其制造方法
CN103872102B (zh) * 2012-12-14 2016-08-17 台湾积体电路制造股份有限公司 具有嵌入式MOS变容二极管的FinFET及其制造方法
CN104051538B (zh) * 2013-03-15 2017-08-29 格罗方德半导体公司 具有体接触的鳍部fet装置及形成具有该体接触的该鳍部fet装置的方法
CN107004713A (zh) * 2014-12-24 2017-08-01 英特尔公司 形成具有非对称外形的鳍状物结构的装置和方法
CN107004713B (zh) * 2014-12-24 2021-02-09 英特尔公司 形成具有非对称外形的鳍状物结构的装置和方法
US10991795B2 (en) 2015-09-15 2021-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
CN106531737A (zh) * 2015-09-15 2017-03-22 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US10468482B2 (en) 2015-09-15 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
CN106972054A (zh) * 2015-12-17 2017-07-21 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN106972054B (zh) * 2015-12-17 2019-12-10 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN106992173A (zh) * 2016-01-05 2017-07-28 三星电子株式会社 包括场效应晶体管的半导体器件
CN106952901A (zh) * 2016-01-06 2017-07-14 中芯国际集成电路制造(北京)有限公司 静电放电保护结构及其形成方法
US11264405B2 (en) 2016-04-01 2022-03-01 Intel Corporation Semiconductor diodes employing back-side semiconductor or metal
TWI722118B (zh) * 2016-04-01 2021-03-21 美商英特爾公司 積體電路及製造其之方法
CN107316904A (zh) * 2016-04-25 2017-11-03 台湾积体电路制造股份有限公司 FinFET及其形成方法
US10868005B2 (en) 2016-04-25 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming finFETs
CN107316904B (zh) * 2016-04-25 2020-11-06 台湾积体电路制造股份有限公司 FinFET及其形成方法
CN107887379A (zh) * 2016-09-30 2018-04-06 中芯国际集成电路制造(上海)有限公司 静电放电保护结构及其形成方法
CN107887379B (zh) * 2016-09-30 2020-07-10 中芯国际集成电路制造(上海)有限公司 静电放电保护结构及其形成方法
CN108231888B (zh) * 2016-12-14 2020-12-22 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN108231888A (zh) * 2016-12-14 2018-06-29 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN108400136A (zh) * 2017-02-08 2018-08-14 格芯公司 具有肖特基二极管的finfet esd装置
WO2019014957A1 (en) * 2017-07-18 2019-01-24 Hong Kong Applied Science and Technology Research Institute Company Limited FIELD FIELD EFFECT TRANSISTOR
US10510743B2 (en) 2017-07-18 2019-12-17 Hong Kong Applied Science and Technology Research Institute Company, Limited Step fin field-effect-transistor (FinFET) with slim top of fin and thick bottom of fin for electro-static-discharge (ESD) or electrical over-stress (EOS) protection
US10347720B2 (en) 2017-10-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
US10950694B2 (en) 2017-10-30 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
CN109728071A (zh) * 2017-10-30 2019-05-07 台湾积体电路制造股份有限公司 掺杂具有导电部件的半导体器件
TWI668863B (zh) * 2017-10-30 2019-08-11 台灣積體電路製造股份有限公司 半導體結構及其製造方法
US11450741B2 (en) 2017-10-30 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
US11742386B2 (en) 2017-10-30 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
CN108063133A (zh) * 2017-11-24 2018-05-22 中国科学院上海微系统与信息技术研究所 一种基于soi工艺的静电保护器件及其构成的静电保护电路
CN110890279A (zh) * 2018-09-11 2020-03-17 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN110890279B (zh) * 2018-09-11 2023-09-15 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
WO2022041235A1 (zh) * 2020-08-31 2022-03-03 华为技术有限公司 鳍式场效应管、esd保护电路、滤波电路以及电子设备

Also Published As

Publication number Publication date
KR20130088704A (ko) 2013-08-08
US9312384B2 (en) 2016-04-12
CN103227202B (zh) 2015-11-18
US8928093B2 (en) 2015-01-06
US8735993B2 (en) 2014-05-27
US20130193526A1 (en) 2013-08-01
US20140193959A1 (en) 2014-07-10
KR101372052B1 (ko) 2014-03-25
US20150137264A1 (en) 2015-05-21

Similar Documents

Publication Publication Date Title
CN103227202B (zh) FinFET体接触件及其制造方法
US10326021B2 (en) Source/drain profile for FinFeT
CN102983165B (zh) 控制沟道厚度的FinFET设计
US9576960B2 (en) Structure for finFET CMOS
CN103295904B (zh) 具有LDD延伸的FinFET设计
CN103606559B (zh) 半导体装置的制造方法
US10056482B2 (en) Implementation of long-channel thick-oxide devices in vertical transistor flow
KR20150044412A (ko) 매립된 절연체층을 가진 finfet 및 그 형성 방법
CN103311296A (zh) 具有高迁移率和高能带隙材料的半导体结构及方法
US9246005B2 (en) Stressed channel bulk fin field effect transistor
KR101656952B1 (ko) Fin 피처의 구조물 및 그 제조 방법
KR20220106992A (ko) 자기-정렬된 유전체 기둥이 있는 나노시트 트랜지스터
KR20160005858A (ko) 전계 효과 트랜지스터 및 그 제조 방법
US20230063612A1 (en) Devices with improved operational current and reduced leakage current
US20230317791A1 (en) Semiconductor device and manufacturing method thereof
US10290738B2 (en) Methods of forming epi semiconductor material on a recessed fin in the source/drain regions of a FinFET device
CN102664152A (zh) 提高载流子迁移率的nmos器件的制作方法及器件结构

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant