TWI668863B - 半導體結構及其製造方法 - Google Patents

半導體結構及其製造方法 Download PDF

Info

Publication number
TWI668863B
TWI668863B TW107115738A TW107115738A TWI668863B TW I668863 B TWI668863 B TW I668863B TW 107115738 A TW107115738 A TW 107115738A TW 107115738 A TW107115738 A TW 107115738A TW I668863 B TWI668863 B TW I668863B
Authority
TW
Taiwan
Prior art keywords
source
drain region
dopant
concentration
region
Prior art date
Application number
TW107115738A
Other languages
English (en)
Other versions
TW201917890A (zh
Inventor
劉書豪
吳濬宏
陳國儒
陳佳政
陳亮吟
張惠政
譚倫光
游偉明
楊懷德
劉昌淼
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201917890A publication Critical patent/TW201917890A/zh
Application granted granted Critical
Publication of TWI668863B publication Critical patent/TWI668863B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Abstract

本揭露總體上涉及對半導體元件中導電部件的摻雜。在一範例中,此結構包括電晶體的主動區。主動區包括源極/汲極區,且此源極/汲極區至少部分藉由具有第一摻雜濃度的第一摻質定義。此源極/汲極區更包括第二摻質,此第二摻質之濃度輪廓從源極/汲極區的表面至源極/汲極區的一深度具有一致的濃度。此一致的濃度大於第一摻質濃度。此結構更包括在源極/汲極區的表面接觸源極/汲極區的導電部件。

Description

半導體結構及其製造方法
本揭露實施例係關於半導體積體電路,特別是關於源極/汲極區的形成方法。
隨著積體電路(integrated circuits)尺寸持續縮小,矽化物(silicide)區以及接觸插塞(contact plug)及矽化物區之間的接觸也越來越小。因此,接觸電阻可能逐漸增加。舉例來說,在鰭式場效電晶體(fin field-effect transistors,FinFET)中,鰭片(fin)非常窄,導致接觸件及鰭片之間的接觸面積非常小。
本揭露實施例提供一種半導體結構。此結構包括電晶體之主動區。上述主動區包括源極/汲極區,且源極/汲極區至少部分藉由具有第一摻質濃度的第一摻質定義。源極/汲極區更包括第二摻質,第二摻質之濃度輪廓從源極/汲極區之表面至源極/汲極區之深度具有一致的濃度,此一致的濃度大於第一摻質濃度。此結構更包括導電部件,此導電部件在源極/汲極區之表面處接觸源極/汲極區。
本揭露實施例另提供一種半導體結構。此結構包括基板,基板包括鰭片,且閘極結構位於鰭片之上。鰭片具有 源極/汲極區。源極/汲極區包括含鍺材料,且源極/汲極區更包括鎵濃度的輪廓。此輪廓於源極/汲極區之表面處具有平台(platform)且輪廓自平台向源極/汲極區中遞減。此結構更包括矽化物區位於源極/汲極區之表面上,且包括接觸件位於矽化物區上。
本揭露實施例另提供一種形成半導體結構的方法。在基板上定義主動區。主動區包括源極/汲極區,源極/汲極區至少部分由具有第一濃度之第一摻質定義。佈植第二摻質至源極/汲極區中。第二摻質具有一致的濃度,此一致的濃度自源極/汲極區之表面延伸至源極/汲極區之一深度中,此一致的濃度大於第一濃度。形成接觸源極/汲極區的導電部件。
40‧‧‧鰭式場效電晶體
42‧‧‧基板
44‧‧‧隔離區
46a、46b‧‧‧鰭片
48a、48b‧‧‧閘極介電質
50a、50b‧‧‧閘極電極
52a、52b、52c、52d、52e、52f‧‧‧源極/汲極區
70‧‧‧基板
72‧‧‧遮罩
74‧‧‧鰭片
76‧‧‧溝槽
78‧‧‧隔離區
80‧‧‧蝕刻終止
82‧‧‧虛置閘及
84‧‧‧遮罩
86‧‧‧間隔物
90‧‧‧凹槽
92‧‧‧源極/汲極區
94‧‧‧非晶化佈植
96‧‧‧上部
98‧‧‧摻質佈植
100‧‧‧介電層
102‧‧‧閘極介電質
104‧‧‧閘極電極
106‧‧‧遮罩
110‧‧‧開口
112‧‧‧遮罩
114‧‧‧金屬層
116‧‧‧阻障層
118‧‧‧矽化物區
120‧‧‧接觸件
122‧‧‧介電層
124‧‧‧導電部件
140‧‧‧非晶化佈植
142‧‧‧上部
144‧‧‧摻質佈植
200‧‧‧平台摻雜區
202‧‧‧曳尾摻雜區
300‧‧‧剛佈植摻質輪廓
302‧‧‧剛退火摻質輪廓
304‧‧‧剛退火摻質輪廓
A-A‧‧‧剖面
B-B‧‧‧剖面
以下將配合所附圖式詳述本揭露實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本揭露實施例的特徵。
第1圖係根據一些實施例繪示出簡化鰭式場效電晶體之範例的三維視圖。
第2A-2B、3A-3B、4A-4B、5A-5B、6A-6B、7A-7B、8A-8B、9A-9B、10A-10B、11A-11B、12A-12B、13A-13B、14A-14B、15A-15B、16A-16B、17A-17B圖係根據一些實施例繪示出在形成一或多個鰭式場效電晶體之一示例製程中的中間階段剖面圖。
第18A-18B及19A-19B圖係根據一些實施例繪示出形成一 或多個鰭式場效電晶體之另一示例製程中的中間階段剖面圖。
第20圖係根據一些實施例繪示出導電部件及源極/汲極區之剖面圖。
第21圖係根據一些實施例繪示出不同摻質輪廓的圖表(graph)。
第22圖係根據一些實施例繪示出一部分之示例裝置結構之剖面圖。
以下的揭示內容提供許多不同的實施例或範例,以展示本揭露的不同特徵。以下將揭示本說明書各部件及其排列方式之特定範例,用以簡化本揭露敘述。當然,這些特定範例並非用於限定本揭露。例如,若是本說明書以下的發明內容敘述了將形成第一結構於第二結構之上或上方,即表示其包括了所形成之第一及第二結構是直接接觸的實施例,亦包括了尚可將附加的結構形成於上述第一及第二結構之間,則第一及第二結構為未直接接觸的實施例。此外,本揭露說明中的各式範例可能使用重複的元件符號及/或字母。這些重複的符號或字母的目的在於簡化與清晰,並非用以限定各式實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖示中一元件或特徵部件與另一(些)元件或特徵部件的關係,可使用空間相關用語,例如「在...之下」、「下方」、「下部」、「上方」、「上部」及諸如此類用語。除了圖示所繪示之方位外,空間相關用語亦涵 蓋使用或操作中之裝置的不同方位。當裝置被轉向不同方位時(例如,旋轉90度或者其他方位),則其中所使用的空間相關描述亦將依轉向後的方位來解釋。
此處描述了連接至電晶體之源極/汲極區的導電部件(conductive feature)(例如,包括接觸件(contact))以及用於形成此類導電部件的方法。在一些範例中,對源極/汲極區佈植例如鎵(gallium)之摻質以在靠近源極/汲極區之表面處具有平台(platform)的濃度輪廓,此平台濃度大於其他源極/汲極區之摻質濃度。此平台濃度可降低接觸件至源極/汲極區的電阻。
此處描述及說明實施於鰭式場效電晶體(fin field-effect transistors,FinFET)中的示例導電部件;然而,本揭露之範圍內的導電部件亦可實施於平面電晶體(planar transistor)及/或其他半導體裝置中。此外,也說明了形成鰭式場效電晶體之中間階段。此處描述的一些實施例是在使用置換閘極(replacement gate)製程形成鰭式場效電晶體的上下文中描述的。在其他範例中,可使用閘極先製(gate-first)製程。此處描述一些示例方法及結構的不同變化。本領域具有通常知識者將可容易理解可以在其他實施例的範圍內進行其他的修改。雖然方法實施例可以以特定的順序描述,但各種其他方法實施例可以任何邏輯的順序進行且可包括比此處描述更少或更多的步驟。
第1圖係根據一些實施例繪示出簡化鰭式場效電晶體40之範例的三維視圖。第1圖中未說明或描述的其他方面可於以下圖示及描述中得到。第1圖中的結構可以例如一或多 個(例如,四個)電晶體的方式電性連接或耦合(couple)。
鰭式場效電晶體40包括位於基板42上的鰭片(fin)46a及46b。基板42包括隔離區44,且鰭片46a及46b各自突出(protrude)自相鄰的隔離區44之間的上方。閘極介電質(dielectric)48a及48b沿著鰭片46a及46b的側壁及位於46a及46b的頂表面(top surface)之上,且閘極電極50a及50b分別位於閘極介電質48a及48b之上。源極/汲極區52a-f設置在鰭片46a及46b的相應區域中。源極/汲極區52a及52b設置在閘極介電質48a及閘極電極50a兩側的鰭片46a區域中。源極/汲極區52b及52c設置在閘極介電質48b及閘極電極50b兩側的鰭片46a區域中。源極/汲極區52d及52e設置在閘極介電質48a及閘極電極50a兩側的鰭片46b區域中。源極/汲極區52e及52f設置在閘極介電質48b及閘極電極50b兩側的鰭片46b區域中。
在一些範例中,四個電晶體可藉由(1)源極/汲極區52a及52b、閘極介電質48a、及閘極電極50a;(2)源極/汲極區52b及52c、閘極介電質48b、及閘極電極50b;(3)源極/汲極區52d及52e、閘極介電質48a、及閘極電極50a;及(4)源極/汲極區52e及52f、閘極介電質48b、及閘極電極50b實現。舉例來說,如所標示的,在各電晶體之間可共享一些源極/汲極區,且一些未繪示為共享的其他源極/汲極區可與未繪示的相鄰電晶體共享。在一些範例中,各式源極/汲極區中的各個源極/汲極區可被連接或耦合在一起,以使鰭式場效電晶體作為兩個功能(functional)電晶體實施。舉例來說,如果相鄰(例如,而非相對)源極/汲極區52a-52f電性連接,例如藉由磊晶成長合併 (coalesce)此些區域(例如,合併源極/汲極區52a及52d、合併源極/汲極區52b及52e等),可實現兩個功能電晶體。其他範例中的其他配置可實現其他數量的功能電晶體。
第1圖更繪示出用於後續圖示中的參考剖面。剖面A-A為沿著相對源極/汲極區52a-52f之間的鰭片46a中的通道的平面。剖面B-B為垂直於剖面A-A的平面且橫跨鰭片46a中的源極/汲極區52a及橫跨鰭片46b中的源極/汲極區52d。為了清楚起見,後續圖示將參考此些參考剖面。
第2A-B至第17A-B圖係根據一些實施例繪示出在形成一或多個鰭式場效電晶體之一示例製程中的中間階段剖面圖。在第2A-B至第17A-B圖中,以”A”符號結尾的圖示係沿著相似於第1圖中的剖面A-A的剖面所繪示出的剖面圖,且以”B”符號結尾的圖示係沿著相似於第1圖中的剖面B-B的剖面所繪示出的剖面圖。在一些圖示中,其中所示的一些部件(component)或特徵的附圖標記可被省略以避免模糊其他部件或特徵;此係為了便於描繪此些圖示。
第2A及2B圖繪示出半導體基板70。此半導體基板70可為或包括主體(bulk)半導體基板、絕緣層上半導體(semiconductor-on-insulator,SOI)基板、或相似基板,其可為摻雜(例如,使用p-型或n-型摻質(dopant)或未摻雜的。一般而言,絕緣層上半導體基板包括形成於絕緣層上的半導體材料的膜層。舉例來說,此絕緣層可為,埋藏氧化物(buried oxide,BOX)層、氧化矽(silicon oxide)層、或相似層。提供絕緣層於基板上,通常是矽(silicon)或玻璃(glass)基板。亦可使用其他基板,例 如多層(multi-layered)或梯度(gradient)基板。在一些實施例中,半導體基板層之半導體材料可包括矽(silicon,Si);鍺(germanium,Ge);包括碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)或銻化銦(indium antimonide)的化合物(compound)半導體;包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、或GaInAsP的合金半導體;或上述之組合。
第3A及3B圖繪示出半導體基板70中的鰭片74的形成。在所繪示的範例中,在鰭片74的形成中使用遮罩72(例如,硬遮罩)。舉例來說,沉積一或多個遮罩層於半導體基板70之上,且接著圖案化上述一或多個遮罩層成為遮罩72。在一些範例中,上述一或多個遮罩層可包括或為氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、碳化矽(silicon carbide)、碳氮化矽(silicon carbon nitride)、相似材料、或上述之組合,且可藉由化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)、或其他沉積技術沉積。可使用光微影技術(photolithography)圖案化上述一或多個遮罩層。舉例來說,例如藉由使用旋轉塗佈表面鍍層(spin-on coating)可於一或多個遮罩層上形成光阻,且藉由使用適當光遮罩將光阻曝露至光中以圖案化光阻。可接著去除光阻的曝露或未曝露部分,其取決於使用的是正光阻或是負光阻。光阻的圖案可接著被轉移至一或多個遮罩層,例如藉由使用形成遮罩72的合適蝕刻製程。蝕刻製程可包括反應離子蝕刻(reactive ion etch,RIE)、中性束 蝕刻(neutral beam etch,NBE)、相似製程、或上述之組合。此蝕刻可為非等向性(anisotropic)的。接著,在例如灰化(ashing)或濕式剝除(wet strip)製程中去除光阻。
可使用遮罩72蝕刻半導體基板70以在相鄰的一組鰭片74之間形成溝槽76且使鰭片74自半導體基板70突出。此蝕刻製程可包括反應離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)、相似製程、或上述之組合。此蝕刻可為非等向性(anisotropic)的。
第4A及4B圖繪示出隔離區78的形成,各個隔離區78位於相應的溝槽76中。隔離區78可包括或為絕緣材料,例如氧化物(例如氧化矽)、氮化物(nitride)、相似材料、或上述之組合,且可藉由高密度電漿化學氣相沉積(high density plasma CVD,HDP-CVD)、流動式化學氣相沉積(flowable CVD,FCVD)(例如,於遠端控制(remote)電漿系統中沉積以化學氣相沉積為主的材料且後硬化(post curing)此材料使其轉變為例如氧化物的其他材料)、其他相似製程、或上述之組合以形成此絕緣材料。可使用任意容許製程形成其他絕緣材料。在所繪示的實施例中,隔離區78包括藉由流動式化學氣相沉積製程形成的氧化矽。例如化學機械拋光(chemical mechanical polish,SMP)的平坦化製程可去除任何過量的絕緣材料及任何殘餘的遮罩72以將絕緣材料的頂表面形成為與鰭片74的頂表面共平面。可接著凹蝕(recess)此絕緣材料以形成隔離區78。凹蝕此絕緣材料使得鰭片74自相鄰隔離區78之間突出,其從而可描繪至少一部份的鰭片74作為半導體基板70上的主動區。可使用適用蝕刻 製程凹蝕此絕緣材料,例如對上述絕緣材料具有選擇性的蝕刻製程。舉例來說,可採用使用CERTAS®蝕刻、應用材料公司(Applied Materials)的SICONI工具或稀釋氫氟酸(dilute hydrofluoric,dHF)的化學氧化物去除(chemical oxide removal)。此外,隔離區78的頂表面可具有如圖所繪示的平坦(flat)表面、凸(convex)面、凹(concave)面(例如碟狀(dishing))、或上述之組合,其可由蝕刻製程所導致。
本領域具有通常知識者將可容易理解關於第2A-B圖至第4A-B圖之製程描述僅為如何形成鰭片74之一可能範例。在其他實施例中,可形成介電層於半導體基板70的頂表面之上;可通過介電層蝕刻溝槽;可磊晶成長同質磊晶(homoepitaxial)結構於此些溝槽中;且可凹蝕此介電層以使同質磊晶結構自介電層突出以形成鰭片。在其他的實施例中,異質磊晶(heteroepitaxial)結構可以用於此些鰭片。舉例來說,可凹蝕鰭片74(例如,在平坦化隔離區78的絕緣材料之後且在凹蝕絕緣層之前),且可在其他位置磊晶成長不同於鰭片之材料。在更進一步的實施例中,可於半導體基板70的頂表面之上形成介電層;可通過介電層蝕刻溝槽;可使用不同於半導體基板70之材料磊晶成長異質結構於上述溝槽中;且可凹蝕介電層以使異質結構自介電層突出以形成鰭片。在一些同質磊晶或異質磊晶結構為磊晶成長的實施例中,此成長材料可在成長過程中原位(in situ)摻雜,此可免除之前的鰭片的佈植,雖然原位摻雜及佈植摻雜可被一起使用。此外,用於磊晶成長n型裝置的材料使用不同於p型裝置中的材料的可能是有優勢的。在不同實施例中, 鰭片74可包括矽、矽鍺(SixGe1-x,其中X可約在0至100)、碳化矽、純的或大體上純的鍺、三五族化合物半導體、二六族化合物半導體、或相似材料。舉例來說,用於形成三五族化合物半導體的材料包括InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP、及相似材料。
第5A及5B圖繪示出位於鰭片74上的虛置(dummy)閘極堆疊的形成。各個虛置閘極堆疊包括蝕刻終止80、虛置閘極82、及遮罩84。可藉由依序沉積各個層且圖案化此些層以形成蝕刻終止80、虛置閘極82、及遮罩84。舉例來說,用於蝕刻終止80的膜層可包括或為氧化矽、氮化矽、相似材料或上述之多層,且可為例如藉由電漿增強化學氣相沉積(plasma-enhanced CVD,PECVD)、原子層沉積、或其他沉積技術的熱成長或沉積。用於虛置閘極82的膜層可包括或為藉由化學氣相沉積、物理氣相沉積、或其他沉積技術沉積的矽(例如,多晶矽)或其他材料。用於遮罩84的膜層可包括或為藉由化學氣相沉積、物理氣相沉積、原子層沉積或其他沉積技術沉積的氮化矽、氮氧化矽、碳氮化矽、相似材料、或上述之組合。可接著圖案化用於遮罩84、虛置閘極82、及蝕刻終止80的膜層,舉例來說,如同先前關於第3A及3B圖所描述的,使用光微影技術及一或多道蝕刻製程,以形成用於各個閘極堆疊的遮罩84、虛置閘極82、及蝕刻終止80。
在所繪示的範例中,虛置閘極堆疊用於實現置換閘極製程。在其他範例中,可使用閘極堆疊實現閘極先製製程,舉例來說,前述閘極堆疊包括例如取代蝕刻終止80的閘極介電 質,及取代虛置閘極82的閘極電極。在一些閘極先製製程中,可使用與前述關於虛置閘極堆疊相似的製程或材料形成閘極堆疊;雖然在其他範例中,可使用其他製程或材料。舉例來說,閘極介電質可包括或為高介電常數(high-k)介電材料,例如具有介電常數大於約7.0的材料,其可包括金屬氧化物或Hf、Al、Zr、La、Mg、Ba、Ti、Pb、上述之多層或上述之組合的矽酸鹽(silicate)。亦可使用分子束沉積(molecular-beam deposition,MBD)、原子層沉積、電漿增強化學氣相沉積或其他沉積技術沉積閘極介電質。閘極電極亦可包括或為含金屬材料,例如TiN、TaN、TaC、Co、Ru、Al、上述之多層、或上述之組合。
第6A及6B圖繪示出閘極間隔物(spacer)86的形成。閘極間隔物86沿著虛置閘極堆疊的側壁(例如,蝕刻終止80、虛置閘極82、及遮罩84的側壁)形成。舉例來說,可藉由共形地(conformally)沉積一或多個用於閘極間隔物86的膜層且非等向性蝕刻此些一或多個層以形成閘極間隔物86。一或多個用於閘極間隔物86的膜層可包括或為氮化矽、氮氧化矽、碳氮化矽、相似材料、上述之多層、或上述之組合,且蝕刻製程可包括反應離子蝕刻(RIE)、中性束蝕刻(NBE)、或其他蝕刻製程。
第7A及7B圖繪示出用於源極/汲極區的凹槽(recess)90的形成。如所繪示的,形成凹槽90於位於虛置閘極堆疊兩側的鰭片74中。可藉由蝕刻製程進行凹蝕。上述蝕刻製程可為等向性(isotropic)或非等向性,或者,可以是對於半導體基板70的一個或多個晶面(crystalline plane)為選擇性的。因此,基於所實施的蝕刻製程,凹槽90可具有各種剖面輪廓。此 蝕刻製程可為乾蝕刻,例如反應離子蝕刻、中性束蝕刻、或相似製程,或為例如使用四甲基氫氧化銨(tetramethyalammonium hydroxide,TMAH)、氫氧化銨(ammonium hydroxide,NH4OH)或其他蝕刻劑的濕蝕刻。
第8A及8B圖繪示出凹槽90中的磊晶源極/汲極區92的形成。源極/汲極區92可包括或為矽鍺(SixGe1-x,其中X可約在0至100)、碳化矽、磷化矽、純的或大體上純的鍺、三五族化合物半導體、二六族化合物半導體、或相似材料。舉例來說,用於形成三五族化合物半導體的材料包括InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP、及相似材料。可藉由磊晶成長一材料於凹槽90中以形成位於凹槽90中的磊晶源極/汲極區92,例如藉由金屬有機化學氣相沉積(metal-organic CVD,MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、相似製程、或上述之組合。如第8A及8B圖所繪示,由於隔離區78的阻擋(block),磊晶源極/汲極區92首先在凹槽90中垂直成長,在此期間磊晶源極/汲極區92不會水平成長。在完全填充凹槽90之後,磊晶源極/汲極區92可垂直及水平成長以形成刻面(facet),其可對應於半導體基板70的晶面。在一些範例中,用於p型裝置及n型裝置之磊晶源極/汲極區的材料是不同的。在凹蝕或磊晶成長期間,適當的遮罩可允許在不同的裝置中使用不同的材料。
本領域具有通常知識者將可容易理解關於第7A-B 圖至第8A-B圖之凹蝕及磊晶成長可被省略,且可藉由佈植摻質至鰭片74中以形成源極/汲極區。在一些實施磊晶源極/汲極區92的範例中,磊晶源極/汲極區92亦可被摻雜,例如在磊晶成長的過程中原位摻雜及/或在磊晶成長之後藉由佈植摻質至磊晶源極/汲極區92中。舉例來說,示例摻質可包括或為,用於p型裝置的硼(boron)及用於n型裝置的磷(phosphorus)或砷(arsenic),但可使用其他摻質。磊晶源極/汲極區92(或其他源極/汲極區)可具有在約1019cm-3至約1021cm-3的摻質濃度。因此,如果合適的話,可藉由摻雜(例如,如果合適的話,在磊晶成長的過程中藉由佈植及/或原位摻雜)及/或磊晶成長描繪出(delineate)源極/汲極區,其可進一步在描繪的源極/汲極區中描繪出主動區。
第9A及9B圖描繪出非晶化(amorphization)佈植94。在一些實施例中,可省略非晶化佈植94。在一些範例中,非晶化佈植94包括佈植雜質物質(species)至磊晶源極/汲極區92中以使磊晶源極/汲極區92的上部96非晶化(amorphous)。舉例來說,經非晶化的上部96可自相對應的磊晶源極/汲極區92的上表面延伸至約2奈米至約20奈米的深度。在一些例如用於p型裝置的範例中,磊晶源極/汲極區92為SixGe1-x,且用以非晶化磊晶源極/汲極區92之上部96的佈植物質為鍺。在此類範例中,佈植能量可在約1keV至約15keV,例如約10keV,且伴隨著在約5x1013cm-2至約5x1014cm-2的劑量(dosage)濃度。
第10A及10B圖繪示出摻質佈植98至磊晶源極/汲極區92的上部96中。摻質佈植98可佈植摻質至上部96以降低相 應磊晶源極/汲極區92及將接著形成的導電部件(例如,包括接觸件)之間的接觸電阻。在一些範例中,使用於摻質佈植98的摻質物質可在佈植時非晶化上部96(且因此可稱為自非晶化(self-amorphizing))。在此些範例或在不同的範例中,可省略第9A及9B圖的非晶化佈植94。摻質佈植98可佈植摻質至上部96中以使上部96自相應的上部96的上表面至等於或大於5奈米、等於或大於約10奈米、或等於或大於約15奈米的深度具有一致的摻質濃度。此一致的摻質濃度可大於至少一部份的所描繪的源極/汲極區(例如,在磊晶成長過程中藉由佈植及/或原位摻雜形成)的摻質濃度。摻質在磊晶源極/汲極區92中的濃度可自一致的濃度往磊晶源極/汲極區92更深處降低。摻質佈植98及摻質佈植98所導致的摻質濃度的額外細節將於後續第20及21圖描述。
在一些例如用於p型裝置的範例中,磊晶源極/汲極區92為SixGe1-x,且用於佈植摻質佈植98至磊晶源極/汲極區92之上部96中的物質為鎵。在此類範例中,佈植能量可在約0.5keV至約10keV,且伴隨著在約1x1015cm-2至約1x1016cm-2的劑量濃度。鎵自磊晶源極/汲極區92的上表面至前述深度的一致濃度在約1021cm-3至約1022cm-3,且更具體來說,為約5x1021cm-3
在摻質佈植98之後,進行退火(anneal)以活化(activate)摻質且使原本為非晶化(例如,藉由非晶化佈植94及/或摻質佈植98)的上部96再結晶(recrystallize)。在一些範例中,前述退火可在約600℃至1000℃的溫度下持續等於或少於約1 分鐘、等於或少於約12秒、或等於或少於約1秒。在其他範例中,前述退火可為持續進行數奈秒(nanoseconds)的雷射退火,例如等於或少於約100奈秒。然而,持續進行大於約100奈秒亦是可行的。在進一步的範例中,此退火可為持續進行幾奈秒的熔點(melting)退火,例如約1至180奈秒。
第11A及11B圖繪示出一或更多個介電層100的形成。舉例來說,一或多個介電層100可包括蝕刻終止層(etch stop layer,ESL)及層間介電質(interlayer dielectric,ILD)。通常,蝕刻終止層可提供一種機制(mechanism)以在形成例如接觸件(contact)或通孔(via)時停止蝕刻製程。蝕刻終止層可由與鄰近的膜層(舉例來說,層間介電層)具有不同蝕刻選擇性的介電材料形成。可共形地沉積蝕刻終止層於磊晶源極/汲極區92、虛置閘極堆疊、間隔物86、及隔離區78之上。此蝕刻終止層可包括或為氮化矽、碳氮化矽、碳氧化矽、氮化碳、相似材料、或上述之組合,且可藉由化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、或其他沉積技術沉積。前述層間介電層可包括或為二氧化矽(silicon dioxide)、低介電常數(low-K)介電材料(例如,介電常數低於二氧化矽的材料)例如氮氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)、摻雜氟的矽酸鹽玻璃(fluorinated silicate glass,FSG)、有機矽酸鹽玻璃(organosilicate glasses,OSG)、SiOxCy、旋轉塗佈玻璃(Spin-On-Glass)、旋轉塗佈聚合物(Spin-On-Polymers)、碳矽材 料、上述之化合物(compound)、上述之複合物(composite)、相似材料、或上述之組合。可藉由旋轉塗佈、化學氣相沉積、流動式化學氣相沉積、電漿增強化學氣相沉積、物理氣相沉積、或其他沉積技術沉積層間介電層。
形成其頂表面與虛置閘極82之頂表面共平面的一或多個介電層100。可進行例如化學機械拋光的平坦化製程以使一或多個介電層100的頂表面與虛置閘極82的頂表面齊平(level)。化學機械拋光亦可去除位於虛置閘極82上的遮罩84(且在一些例子中為間隔物86的上部)。因此,虛置閘極82透過一或多個介電層100露出其頂表面。
第12A及12B圖繪示出使用閘極介電質102、閘極電極104、及遮罩106置換虛置閘極堆疊。藉由例如一或多道蝕刻製程去除虛置閘極82及蝕刻終止80。可藉由蝕刻製程去除虛置閘極82,其中蝕刻終止82作為蝕刻終止層,且接著藉由不同蝕刻製程去除蝕刻終止80。舉例來說,蝕刻製程可為反應離子蝕刻、中性束蝕刻、濕蝕刻、或其他蝕刻製程。
形成用於閘極介電質102的膜層,例如,在去除虛置閘極82及蝕刻終止80的情況下。舉例來說,可沿著閘極間隔物86的側壁、已去除虛置閘極堆疊的鰭片74的頂表面及側壁、及一或多個介電層100的頂表面之上共形地沉積用於閘極介電質102的膜層。用於閘極介電質102的膜層可為或包括氧化矽、氮化矽、高介電常數介電材料、上述之多層、或其他介電材料。高介電常數介電材料可具有大於約7.0的介電常數值,且可包括Hf、Al、Zr、La、Mg、Ba、Ti、Pb、或上述之組合的金屬 氧化物或金屬矽酸鹽(metal silicate)。可藉由原子層沉積、電漿增強化學氣相沉積、分子束沉積、或其他沉積技術沉積用於閘極介電質102的膜層。
形成用於閘極電極104的膜層於閘極介電質102之上。用於閘極電極104的膜層可填充去除虛置閘極堆疊後所留下的區域。用於閘極電極的膜層可為或包括含金屬材料,例如TiN、TaN、TaC、Co、Ru、Al、上述之多層、或上述之組合。可藉由原子層沉積、電漿增強化學氣相沉積、分子束沉積、物理氣相沉積或其他沉積技術沉積用於閘極電極104的膜層。
去除部分用於閘極電極104的膜層及位於一或多個介電層100上方的閘極介電質102。舉例來說,使用像是化學機械拋光的平坦化製程,可去除部分用於閘極電極104的膜層及位於一或多個介電層100上方的閘極介電質102。接著,回蝕刻可將閘極電極104及閘極介電質102的頂表面凹蝕至低於一或多個介電層100的頂表面水平。舉例來說,回蝕刻可為反應離子蝕刻、濕蝕刻、或其他蝕刻製程。可因此形成如第12A圖所示的閘極電極104及閘極介電質102。
形成用於遮罩106的膜層於閘極電極104及閘極介電質102之上(例如,閘極電極104及閘極介電質102已經被回蝕刻的位置)及一或多個介電層100之上。用於遮罩106的膜層可包括或為氮化矽、氮氧化矽、碳化矽、碳氮化矽、相似材料、或上述之組合,且可藉由化學氣相沉積、物理氣相沉積、原子層沉積、或其他沉積技術沉積用於遮罩106的膜層。去除部分位於一或多個介電層100的頂表面上方之用於遮罩106的膜層。 舉例來說,使用像是化學機械拋光的平坦化製程,可去除部分位於一或多個介電層100的頂表面上方之用於遮罩106的膜層,且可將遮罩層106的頂表面形成為與一或多個介電層100的頂表面共平面。
如先前所指出的,如圖所示,可在閘極先製製程中實現具有閘極介電質及閘極電極的閘極堆疊,而不在閘極置換製程中實現。在此類範例中,可省略關於第11A-B及12A-B圖所描述的一些製程步驟。舉例來說,如果在第11A-B圖中使用平坦化製程,則一或多個介電層100的頂表面可能會殘留在閘極堆疊的頂表面上方。可省略第12A-B圖中虛置閘極堆疊及置換閘極介電質、閘極電極、及遮罩的去除。
第13A及13B圖繪示出形成開口110通過一或多個介電層100至磊晶源極/汲極區92,以至少露出磊晶源極/汲極區92的相應部分。形成遮罩112於一或多個介電層100及遮罩106上,以形成開口110。用於遮罩112的膜層可包括或為氮化矽、氮氧化矽、碳氮化矽、相似材料、或上述之組合,且可藉由化學氣相沉積、物理氣相沉積、原子層沉積、或其他沉積技術沉積。舉例來說,可接著使用光微影技術及一或多道蝕刻製程圖案化用於遮罩112的膜層。可使用遮罩112及一或多道蝕刻製程例如反應離子蝕刻、中性束蝕刻、或其他蝕刻製程通過一或多個介電層100形成開口110。
儘管並未具體繪示,但是如下所述,可進行非晶化佈植以將磊晶源極/汲極區92將形成矽化物(silicide)區的上部非晶化。相較於未使用非晶化佈植的矽化物的形成,磊晶源 極/汲極區92的非晶化上部可允許更有效率及/且更快速的矽化物的形成。在一些範例中,用於非晶化佈植的物質為鍺或其他物質。
第14A及14B圖繪示出開口110中金屬層114及阻障(barrier)層116的形成。共形地沉積金屬層114於開口110中,且共形地沉積阻障層116於金屬層114上。特別地,金屬層114沉積在藉由開口110露出的磊晶源極/汲極區92的上表面上,且沿著開口110的其他表面沉積。舉例來說,金屬層114可為或包括鈦(titanium)、鈷(cobalt)、鎳(nickel)、相似材料、或上述之組合,且可藉由原子層沉積、化學氣相沉積、或其他沉積技術沉積。舉例來說,可沉積金屬層114至約2奈米至約15奈米的厚度。阻障層116可為或包括氮化鈦(titanium nitride)、氧化鈦(titanium oxide)、氮化鉭(tantalum nitride)、氧化鉭(tantalum oxide)、相似材料、或上述之組合,且可藉由原子層沉積、化學氣相沉積、或其他沉積技術沉積。舉例來說,可沉積阻障層116至約2奈米至約15奈米的厚度。
第15A及15B圖繪示出位於磊晶源極/汲極區的上部上的矽化物區118的形成。可藉由將磊晶源極/汲極區92的上部與金屬層114及/或阻障層116反應形成矽化物區118。進行退火以促使(facilitate)磊晶源極/汲極區92的上部與金屬層114及/或阻障層116的反應。前述退火可在約500℃至約600℃的溫度下持續大於或等於約10秒。舉例來說,矽化物區118可具有約在2奈米至約20奈米的厚度。在一些範例中,可進行蝕刻以去除金屬層114及/或阻障層116的未反應部分。
第16A及16B圖繪示出填充開口110的接觸件120的形成。接觸件120可為或包括鎢(tungsten)、銅(copper)、鋁(aluminum)、金(gold)、銀(silver)、上述之合金、相似材料、或上述之組合,且可藉由化學氣相沉積、原子層沉積、物理氣相沉積、或其他沉積技術沉積。舉例來說,在沉積接觸件120的材料之後,可藉由使用例如化學機械拋光平坦化製程去除過量的材料。上述平坦化製程可自一或多個介電層100的頂表面上去除接觸件120、阻障層116、金屬層114、及遮罩112的過量材料。因此,接觸件120、阻障層116、金屬層114、遮罩112、及一或多個介電層100的頂表面可為共平面。因此,可形成包括接觸電120、阻障層116、金屬層114、及/或矽化物區118的導電部件至磊晶源極/汲極區92。
雖然導電部件(例如,包括接觸件120)在圖示中被描繪成具有特定配置(configuration),但導電部件可具有任意配置。舉例來說,可形成各別的導電部件以隔開磊晶源極/汲極區92。本領域具有通常知識者將可容易理解此處描述的製程步驟可被修飾以實現不同的配置。
第17A及17B圖繪示出一或多個介電層122及在一或多個介電層122中的導電部件124的形成。舉例來說,一或多個介電層122可包括蝕刻終止層(etch stop layer,ESL)及層間介電質(interlayer dielectric,ILD)或金屬間介電質(intermetal dielectric,IMD)。可沉積蝕刻終止層於一或多個介電層100、接觸件120、遮罩106等之上。蝕刻終止層可包括或為氮化矽、碳氮化矽、碳氧化矽、氮化碳、相似材料、或上述之組合,且 可藉由化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、或其他沉積技術沉積。層間介電質或金屬間介電質可包括或為二氧化矽(silicon dioxide)、低介電常數(low-K)介電材料(例如,介電常數低於二氧化矽的材料)例如氮氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、硼磷矽酸鹽玻璃(BPSG)、未摻雜的矽酸鹽玻璃(USG)、摻雜氟的矽酸鹽玻璃(FSG)、有機矽酸鹽玻璃(OSG)、SiOxCy、旋轉塗佈玻璃(Spin-On-Glass)、旋轉塗佈聚合物(Spin-On-Polymers)、碳矽材料、上述之化合物、上述之複合物、相似材料、或上述之組合。可藉由旋轉塗佈、化學氣相沉積、流動式化學氣相沉積、電漿增強化學氣相沉積、物理氣相沉積、或其他沉積技術沉積層間介電質或金屬間介電質。
形成凹槽及/或開口於將形成導電部件124的一或多個介電層122中,及/或通過將形成導電部件124的一或多個介電層122。舉例來說,可使用光微影技術或一或多道蝕刻製程藉由凹槽及/或開口圖案化一或多個介電層122。可接著形成導電部件124於凹槽及/或開口中。舉例來說,導電部件124可包括阻障層及形成於阻障層上的導電材料。可於凹槽及/或開口中且於一或多個介電層122之上共形地沉積阻障層。阻障層可為或包括氮化鈦、氧化鈦、氮化鉭、氧化鉭、相似材料、或上述之組合,且可藉由原子層沉積、化學氣相沉積、或其他沉積技術沉積。導電材料可為或包括鎢、銅、鋁、金、銀、上述之合金、相似材料、或上述之組合,且可藉由化學氣相沉積、原子層沉積、物理氣相沉積、或其他沉積技術沉積。舉例來說, 在沉積導電部件124的材料之後,可藉由使用例如化學機械拋光的平坦化製成去除過量的材料。平坦化製程可自一或多個介電層122的頂表面上方去除導電部件124的過量材料。因此,導電部件124的頂表面及一或多個介電層122的頂表面可為共平面。導電部件124可為或可稱為接觸件、通孔、導線等。
第18A-B至19A-B圖係根據一些實施例繪示出形成一或多個鰭式場效電晶體之示例製程中的中間階段剖面圖。在第18A-B至19A-B圖中,以”A”符號結尾的圖示係沿著相似於第1圖中的剖面A-A的剖面所繪示出的剖面圖,且以”B”符號結尾的圖示係沿著相似於第1圖中的剖面B-B的剖面所繪示出的剖面圖。在一些圖示中,其中所示的一些部件(component)或特徵的附圖標記可被省略以避免模糊其他部件或特徵;此係為了便於描繪此些圖示。
在第18A-B至19A-B圖的示例製程中,如同前面第2A-B至8A-B圖及第11A-B至第13A-B圖中所述,進行形成開口110通過一或多個介電層100的製程。在此範例中,省略第9A-B及10A-B所示製程。前述製程於此示例之第18A-B圖中重新開始。
第18A及18B圖繪示出非晶化佈植140。在一些實施例中,可省略非晶化佈植140。在一些範例中,非晶化佈植140包括藉由開口110通過一或多個介電層100佈植雜質物質至磊晶源極/汲極區92中以使磊晶源極/極極區92中的上部非晶化。舉例來說,非晶化的上部142可自相應的磊晶源極/汲極區92的相應上表面延伸至約2奈米至約20奈米的深度。在一些例如用 於p型裝置的範例中,磊晶源極/汲極區92為SixGe1-x,且用以非晶化磊晶源極/汲極區92之上部142的佈植物質為鍺。在此類範例中,佈植能量可在約1keV至約15keV,例如約10keV,且伴隨著在約5x1013cm-2至約5x1014cm-2的劑量濃度。
第19A及19B圖繪示出摻質佈植144至磊晶源極/汲極區92的上部142。摻質佈植144可藉由開口110通過一或多個介電層100佈植摻質至上部142以降低相應源極/汲極區92與將接著形成的導電部件(例如,包括接觸件)之間的接觸電阻。在一些範例中,使用於摻質佈植144的摻質物質可在佈植時非晶化上部142(且因此可稱為自非晶化(self-amorphizing))。在此些範例或在不同的範例中,可省略第18A及18B圖的非晶化佈植140。摻質佈植144可佈植摻質至上部142中以使上部142自相應的上部142的上表面至等於或大於5奈米、等於或大於約10奈米、或等於或大於約15奈米的深度具有一致的摻質濃度。此一致的摻質濃度可大於至少一部份的所描繪的源極/汲極區(例如,在磊晶成長過程中藉由佈植及/或原位摻雜形成)的摻質濃度。摻質在磊晶源極/汲極區92中的濃度可自一致的濃度往磊晶源極/汲極區92更深處降低。摻質佈植144及摻質佈植144所導致的摻質濃度的額外細節將於後續第20及21圖描述。
在一些例如用於p型裝置的範例中,磊晶源極/汲極區92為SixGe1-x,且用於佈植摻質佈植144至磊晶源極/汲極區92之上部142中的物質為鎵。在此類範例中,佈植能量可在約0.5keV至約10keV,且伴隨著在約1x1015cm-2至約1x1016cm-2的劑量濃度。鎵自磊晶源極/汲極區92的上表面至前述深度的一 致濃度在約1021cm-3至約1022cm-3,且更具體來說,為約5x1021cm-3
在摻質佈植144之後,進行退火以活化摻質且使原本為非晶化(例如,藉由非晶化佈植140及/或摻質佈植144)的上部142再結晶。在一些範例中,前述退火可在約600℃至約1000℃的溫度下持續等於或少於約1分鐘、等於或少於約12秒、或等於或少於約1秒。在其他範例中,前述退火可為持續進行數奈秒的雷射退火,例如等於或少於約100奈秒。然而,持續進行大於約100奈秒亦是可行的。在進一步的範例中,此退火可為持續進行幾奈秒的熔點退火,例如約1至180奈秒。
在第18A-B至19A-B的示例製程中,如果實施的話,藉由第14A-B至17A-B的製程重新啟動非晶化佈植製程。
第20圖係根據一些實施例繪示出導電部件(例如,包括接觸件120)及源極/汲極區92的剖面圖。磊晶源極/汲極區92包括平台(platform)摻質區200及曳尾(tailing)摻質區202。在例如第10A-B及19A-B圖中所佈植摻質的平台摻質區200中的摻質濃度在整個平台摻質區200中大體上一致或維持恆定。在曳尾摻質區202中的摻質濃度自平台摻質區200中大體上一致或恆定的摻質濃度以梯度下降。
第21圖係根據一些實施例繪示出不同摻質輪廓的圖表(graph)。此圖表繪示出剛佈植(as-implanted)摻質輪廓300(例如,在摻質佈植之前,有或者未進行非晶化佈植)、未進行非晶化佈植的第一剛退火(as-annealed)摻質輪廓302、及有進行非晶化佈植的第二剛退火摻質輪廓304。所繪示的摻質輪廓 係用於將鎵佈植進入矽鍺的情況。用於輪廓300及304的任何非晶化佈植係使用鍺作為佈植物質,且用於輪廓302及304的退火係為1000℃下的快速熱退火(rapid thermal anneal)。其他摻質、被佈植摻質於其中的材料、非晶化物質、或退火可用於其他範例中。
如所繪示的,在高達約8奈米(例如,輪廓302)或約12奈米(例如,輪廓300及304)的整個深度中,各個輪廓300、302、及304具有大體上一致或恆定的摻質濃度。在一些範例中,此些大體上一致或恆定的摻質濃度可為平台摻質區200。摻質濃度自此些大體上一致或恆定的摻質濃度(例如始於深度約為8奈米的輪廓302、或始於深度約為12奈米的輪廓300及304)隨著輪廓下降且延伸遠離此些輪廓300、302、及304中的大體上一致或恆定的摻質濃度(例如,隨著深度增加)。
如先前所述,在一些範例中,用於摻質佈植的摻雜物質可為鎵。在一些範例中,鎵可具有有利的方面。舉例來說,鎵比其他摻質物質在鍺中具有更高的固體溶解度(solid solubility),例如硼。因此,當磊晶源極/汲極區92中的鍺含量高時,鎵可具有更高的活性(activation),且因此相較於其他摻質可貢獻更多的電洞(hole)。此外,鎵(例如,Ga69)在原子尺度(scale)上大於其他物質,例如硼。此可允許鎵的佈植為自非晶化,且因此可省略在鎵的佈植之前的非晶化佈質。
此外,可藉由佈植鎵促使磊晶源極/汲極區92及/或矽化物區118的表面具有適當的濃度以達到第21圖所繪示的摻質輪廓的平台濃度。舉例來說,在一些示例製程中,例如在 第13A-B所繪示的製程期間,一些磊晶源極/汲極區92的損耗(loss)可視為蝕刻的結果。在一些範例中,即使當磊晶源極/汲極區92有一些損耗,例如約5奈米的損耗(例如,使得平台濃度在整個磊晶源極/汲極區92中保持3奈米、5奈米、7奈米、或十奈米的深度),平台濃度可允許表面處的摻質濃度大體上保持不變。其他摻質物質可能無法實現平台濃度,且因此,當磊晶源極/汲極區92有一些損耗時,會降低表面處的摻質濃度。因此,在一些範例中,可降低至磊晶源極/汲極區92的接觸電阻(例如,導電部件120及磊晶源極/汲極區92之間的接觸電阻)的高摻質濃度可被實現。
而且,鎵可能比其他摻質物質更不容易擴散。其可允許摻質輪廓在後續製程之後保持接近於剛佈植摻質輪廓,例如在退火之後。這可允許在熱預算的處理上更有彈性(flexibility)。舉例來說,可在不同高溫製程之前進行摻質佈植。此外,由於鎵較不易擴散,可消除(mitigate)在電晶體中,例如鰭式場效電晶體的短通道效應。
如先前所指出的,裝置結構可以在不同實施方式中變化。第22圖係根據一些實施例繪示出一部分之另一示例裝置結構之剖面圖。第22圖之結構可稱為”冠狀(crown)”結構,其中如第4B圖所示的結構可稱為”非冠狀”結構。如第22圖所描繪的,隔離區78的下表面可處於不同的水平。這可在形成鰭片74時在半導體基板70的圖案化期間獲得,例如藉由二或更多的圖案化及蝕刻製程。
實施例為一種結構。此結構包括電晶體之主動區。 上述主動區包括源極/汲極區,且源極/汲極區至少部分藉由具有第一摻質濃度的第一摻質定義。源極/汲極區更包括第二摻質,第二摻質之濃度輪廓從源極/汲極區之表面至源極/汲極區之深度具有一致的濃度,此一致的濃度大於第一摻質濃度。此結構更包括導電部件,此導電部件在源極/汲極區之表面處接觸源極/汲極區。
在一實施例中,主動區包括鰭片,且電晶體為鰭式場效電晶體。在一實施例中,源極/汲極區包括含鍺(germanium-containing)材料,且第二摻質包括含鎵(gallium-containing)物質(species)。在一實施例中,上述深度為至少10奈米,且在另一實施例中,上述深度為至少5奈米。在一實施例中,此一致的濃度大於1x1021cm-3。在一實施例中,此結構更包括介電層,其中至少一部分之導電部件位於至少一部分之介電層中。在一實施例中,導電部件包括在源極/汲極區之表面處的矽化物(silicide)及至矽化物的接觸件(contact)。
其他的實施例為一種結構。此結構包括基板,基板包括鰭片,且閘極結構位於鰭片之上。鰭片具有源極/汲極區。源極/汲極區包括含鍺材料,且源極/汲極區更包括鎵濃度的輪廓。此輪廓於源極/汲極區之表面處具有平台(platform)且輪廓自平台向源極/汲極區中遞減。此結構更包括矽化物區位於源極/汲極區之表面上,且包括接觸件位於矽化物區上。
在一實施例中,上述平台自源極/汲極區之表面延伸至源極/汲極區中至少10奈米,且在其他的實施例中,此平台自源極/汲極區之表面延伸至源極/汲極區中至少5奈米。在一 實施例中,此平台具有濃度,平台之濃度大於1x1021cm-3。在一實施例中,在整個源極/汲極區中,源極/汲極區包括摻質,此摻質具有之濃度小於平台之濃度。
另一個實施例是一種方法。在基板上定義主動區。主動區包括源極/汲極區,源極/汲極區至少部分由具有第一濃度之第一摻質定義。佈植第二摻質至源極/汲極區中。第二摻質具有一致的濃度,此一致的濃度自源極/汲極區之表面延伸至源極/汲極區之一深度中,此一致的濃度大於第一濃度。形成接觸源極/汲極區的導電部件。
在一實施例中,源極/汲極區包括含鍺材料,且第二摻質包括含鎵物質。在一實施例中,佈植第二摻質至源極/汲極區中的步驟將至少一部分之源極/汲極區非晶化。在一實施例中,此方法更包括非晶化至少一部分之源極/汲極區,其包括在佈植第二摻質之前,佈植非晶雜質至源極/汲極區中。在一實施例中,深度為至少15奈米,且在其他的實施例中,深度為至少10奈米。在一實施例中,定義基板上之主動區包括在主動區中定義源極/汲極區,其中定義源極/汲極區包括磊晶成長源極/汲極區。在一實施例中,磊晶成長源極/汲極區包括使用第一摻質原位(in situ)摻雜源極/汲極區。在一實施例中,形成介電層於源極/汲極區之上,且通過介電層形成開口以露出至少一部份的源極/汲極區。在一實施例中,在形成開口之後進行佈植第二摻質,且形成導電部件於開口中。在一實施例中,在佈植第二摻質之後形成介電層,且形成導電部件於開口中。在一實施例中,形成導電部件包括在源極/汲極區的表面處形 成矽化物,且形成接觸件至矽化物。
另一個實施例為一種結構。此結構包括基板,此基板包括主動區。源極/汲極區包括自主動區的表面至源極/汲極區的第一深度具有摻質平台濃度,且摻質濃度自源極/汲極區中的第一深度至第二深度遞減。此結構在基板之主動區之上且靠近源極/汲極區處包括閘極結構,且在基板之上包括導電部件,且此導電部件接觸源極/汲極區。
在一實施例中,主動區包括鰭片,且閘極結構位於鰭片之上。在一實施例中,源極/汲極區包括矽鍺,且摻質包括鎵物質。在一實施例中,第一深度為至少10奈米,且在另一實施例中,第一深度為至少5奈米。在一實施例中,此平台濃度為大於1x1021cm-3。在一實施例中,此結構更包括一介電層。在一實施例中,至少一部份的導電部件位於至少一部份的介電層中,且此導電部件在主動區的表面處包括矽化物且導電部件接觸矽化物。
另一實施例為一種方法。形成閘極結構於基板之主動區之上。形成源極/汲極區於主動區中且靠近閘極結構。在形成源極/汲極區之後,佈植摻質進入源極/汲極區中。摻質自源極/汲極區的表面至源極/汲極區的一深度具有一致的平台濃度。形成導電部件於基板之上且至源極/汲極區。
在一實施例中,源極/汲極區包括矽鍺材料,且摻質包括鎵。在一實施例中,佈植摻質進入源極/汲極區已將至少一部分之源極/汲極區非晶化。在一實施例中,此方法更包括在佈植摻質之前包括佈植非晶化雜質至源極/汲極區中以非 晶化至少一部分之源極/汲極區。在一實施例中,此深度為至少15奈米,且在另一實施例中,此深度為至少10奈米。在一實施例中,形成源極/汲極區包括磊晶成長源極/汲極區。在一實施例中,磊晶成長源極/汲極區包括使用額外的摻質原位摻雜源極/汲極區,此額外的摻質具有小於上述一致平台濃度的濃度。在一實施例中,形成導電部件包括:在源極/汲極區的表面處形成矽化物,且形成接觸件至矽化物。在一實施例中,此方法更包括形成介電層於源極/汲極區之上,且通過介電層形成開口以露出至少一部份的源極/汲極區。在一實施例中,在形成開口之後進行佈植摻質,且在開口中形成導電部件。在一實施例中,在佈植摻質之後,形成介電層於源極/汲極區之上,且在開口中形成導電部件。
另一實施例為一種方法。在基板上形成鰭片。形成閘極結構於鰭片之上。在鰭片中定義源極/汲極區,且源極/汲極區包括含鍺材料。佈植含鎵摻質於源極/汲極區中。含鎵摻質具有一自源極/汲極區之表面至源極/汲極區之第一深度具有平台的濃度輪廓且自源極/汲極區之第一深度至第二深度梯度遞減。形成導電部件至源極/汲極區。
在一實施例中,佈植含鎵摻質至源極/汲極區中以非晶化至少一部分之源極/汲極區。在一實施例中,此方法更包括非晶化至少一部分之源極/汲極區包括在佈植含鎵摻質之前佈植含鍺雜質至源極/汲極區。在一實施例中,第一深度為至少15奈米,且在另一實施例中,此第一深度為至少10奈米。在一實施例中,定義源極/汲極區包括:在鰭片中形成凹槽, 且在凹槽中磊晶成長源極/汲極區。在一實施例中磊晶成長源極/汲極區包括使用額外的摻質原為摻雜源極/汲極區,此額外的摻質具有小於平台濃度輪廓的濃度。在一實施例中,形成導電部件更包括:在源極/汲極區的表面處形成矽化物,且形成接觸件至矽化物。在一實施例中,此方法更包括:形成介電層於源極/汲極區之上,且通過介電層形成開口以露出至少一部分的。在一實施例中,在形成開口之後進行佈植含鎵摻質,且在開口中形成導電部件。在一實施例中,在佈植含鎵摻質之後形成介電層於源極/汲極區之上,且在開口中形成導電部件。
以上概略說明了本揭露數個實施例的特徵,使所屬技術領域內具有通常知識者對於本揭露可更為容易理解。任何所屬技術領域內具有通常知識者應瞭解到本說明書可輕易作為其他結構或製程的變更或設計基礎,以進行相同於本揭露實施例的目的及/或獲得相同的優點。任何所屬技術領域內具有通常知識者亦可理解與上述等同的結構或製程並未脫離本揭露之精神及保護範圍內,且可在不脫離本揭露之精神及範圍內,當可作更動、替代與潤飾。

Claims (15)

  1. 一種半導體結構,包括:一電晶體之一主動區,該主動區包括一源極/汲極區,該源極/汲極區至少部分藉由具有一第一摻質濃度的一第一摻質定義,該源極/汲極區更包括一第二摻質,該第二摻質之濃度輪廓從該源極/汲極區之一表面至該源極/汲極區之一深度具有一致的濃度,該一致的濃度大於該第一摻質濃度;以及一導電部件,該導電部件在該源極/汲極區之該表面接觸該源極/汲極區。
  2. 如申請專利範圍第1項所述之半導體結構,其中該主動區包括一鰭片(fin),且該電晶體為鰭式場效電晶體(Fin Field Effect Transistor,FinFET)。
  3. 如申請專利範圍第1項所述之半導體結構,其中該源極/汲極區包括一含鍺(germanium-containing)材料,且該第二摻質包括一含鎵(gallium-containing)物質(species)。
  4. 如申請專利範圍第1項所述之半導體結構,其中該深度為至少10奈米。
  5. 如申請專利範圍第1項所述之半導體結構,其中該深度為至少5奈米。
  6. 如申請專利範圍第1-5項中任1項所述之半導體結構,其中該一致的濃度大於1x1021cm-3
  7. 如申請專利範圍第1-5項中任1項所述之半導體結構,更包括一介電層,其中至少一部分之該導電部件位於至少一部分之該介電層中,且其中該導電部件包括在該源極/汲極區之該表面的一矽化物(silicide)及至該矽化物的一接觸件(contact)。
  8. 一種半導體結構,包括:一基板,該基板包括一鰭片,該鰭片具有一源極/汲極區,該源極/汲極區包括一含鍺材料,該源極/汲極區更包括一鎵濃度的輪廓,該輪廓於該源極/汲極區之一表面處具有一平台(platform)且該輪廓自該平台向該源極/汲極區中遞減;一閘極結構,位於該鰭片之上;一矽化物區,位於該源極/汲極區之該表面上;以及一接觸件,位於該矽化物區上。
  9. 如申請專利範圍第8項所述之半導體結構,其中在整個該源極/汲極區中,該源極/汲極區包括一摻質,該摻質具有之濃度小於該平台之濃度。
  10. 一種半導體結構的製造方法,包括:在一基板上定義一主動區,該主動區包括一源極/汲極區,該源極/汲極區至少部分由具有一第一濃度之一第一摻質定義;佈植一第二摻質至該源極/汲極區中,該第二摻質具有一致的濃度,該一致的濃度自該源極/汲極區之一表面延伸至該源極/汲極區之一深度中,該一致的濃度大於該第一濃度;以及形成接觸該源極/汲極區的一導電部件。
  11. 如申請專利範圍第10項所述之半導體結構的製造方法,其中該源極/汲極區包括一含鍺材料,且該第二摻質包括一含鎵物質。
  12. 如申請專利範圍第10項所述之半導體結構的製造方法,其中佈植該第二摻質至該源極/汲極區中的步驟將至少一部分之該源極/汲極區非晶化(amorphize)。
  13. 如申請專利範圍第10項所述之半導體結構的製造方法,更包括非晶化至少一部分之該源極/汲極區,其包括在佈植該第二摻質之前,佈植一非晶化雜質至該源極/汲極區中。
  14. 如申請專利範圍第10-13項中任1項所述之半導體結構的製造方法,其中該深度為至少15奈米或為至少10奈米。
  15. 如申請專利範圍第10-13項中任1項所述之半導體結構的製造方法,其中定義該基板上之該主動區包括在該主動區中定義該源極/汲極區,其中定義該源極/汲極區包括磊晶成長該源極/汲極區成長該源極/汲極區,且其中磊晶成長該源極/汲極區包括使用該第一摻質原位(in situ)摻雜該源極/汲極區。
TW107115738A 2017-10-30 2018-05-09 半導體結構及其製造方法 TWI668863B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/797,703 US10347720B2 (en) 2017-10-30 2017-10-30 Doping for semiconductor device with conductive feature
US15/797,703 2017-10-30

Publications (2)

Publication Number Publication Date
TW201917890A TW201917890A (zh) 2019-05-01
TWI668863B true TWI668863B (zh) 2019-08-11

Family

ID=66137759

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107115738A TWI668863B (zh) 2017-10-30 2018-05-09 半導體結構及其製造方法

Country Status (5)

Country Link
US (5) US10347720B2 (zh)
KR (1) KR102097627B1 (zh)
CN (1) CN109728071B (zh)
DE (1) DE102017126510A1 (zh)
TW (1) TWI668863B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11037924B2 (en) * 2017-11-21 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
KR20190110845A (ko) * 2018-03-21 2019-10-01 삼성전자주식회사 반도체 소자
US11121129B2 (en) * 2018-07-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11798991B2 (en) 2019-06-28 2023-10-24 Intel Corporation Amorphization and regrowth of source-drain regions from the bottom-side of a semiconductor assembly
US11133223B2 (en) * 2019-07-16 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Selective epitaxy
US11728415B2 (en) * 2020-03-27 2023-08-15 Nexgen Power Systems, Inc. Method for regrown source contacts for vertical gallium nitride based FETS
US11489053B2 (en) 2020-04-09 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11862694B2 (en) * 2020-09-23 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103227202A (zh) * 2012-01-31 2013-07-31 台湾积体电路制造股份有限公司 FinFET体接触件及其制造方法
TW201603187A (zh) * 2014-07-01 2016-01-16 台灣積體電路製造股份有限公司 半導體裝置及接觸結構的形成方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1050988A (ja) * 1996-07-31 1998-02-20 Sharp Corp 絶縁ゲート型電界効果トランジスタ及びその製造方法
US6426278B1 (en) * 1999-10-07 2002-07-30 International Business Machines Corporation Projection gas immersion laser dopant process (PGILD) fabrication of diffusion halos
JP3746246B2 (ja) * 2002-04-16 2006-02-15 株式会社東芝 半導体装置の製造方法
JP4207591B2 (ja) * 2003-02-10 2009-01-14 日本電気株式会社 浅い拡散層を有する半導体装置の製造方法
US7611943B2 (en) * 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
JP4455441B2 (ja) 2005-07-27 2010-04-21 株式会社東芝 半導体装置の製造方法
CN100505184C (zh) * 2005-08-26 2009-06-24 中芯国际集成电路制造(上海)有限公司 金属硅化物制作中的选择性离子注入预非晶化方法
US20070228425A1 (en) * 2006-04-04 2007-10-04 Miller Gayle W Method and manufacturing low leakage MOSFETs and FinFETs
DE102007020258B4 (de) * 2007-04-30 2018-06-28 Globalfoundries Inc. Technik zur Verbesserung des Transistorleitungsverhaltens durch eine transistorspezifische Kontaktgestaltung
CN101740514B (zh) * 2008-11-18 2011-03-23 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其制作方法
JP2011086728A (ja) * 2009-10-14 2011-04-28 Renesas Electronics Corp 半導体装置およびその製造方法
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
CN102693916B (zh) * 2011-03-25 2015-01-14 中国科学院微电子研究所 改进MOSFETs镍基硅化物热稳定性的方法
US8981435B2 (en) 2011-10-01 2015-03-17 Intel Corporation Source/drain contacts for non-planar transistors
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
CN106158747B (zh) * 2015-03-30 2020-03-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9865504B2 (en) 2016-03-04 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US20180006993A1 (en) * 2016-06-30 2018-01-04 Quippy, Inc. Content delivery in a location-based messaging platform
CN107731753B (zh) * 2016-08-12 2020-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN107785313B (zh) * 2016-08-26 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9679967B1 (en) 2016-09-30 2017-06-13 International Business Machines Corporation Contact resistance reduction by III-V Ga deficient surface
KR102276650B1 (ko) * 2017-04-03 2021-07-15 삼성전자주식회사 반도체 소자의 제조 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103227202A (zh) * 2012-01-31 2013-07-31 台湾积体电路制造股份有限公司 FinFET体接触件及其制造方法
TW201603187A (zh) * 2014-07-01 2016-01-16 台灣積體電路製造股份有限公司 半導體裝置及接觸結構的形成方法

Also Published As

Publication number Publication date
US10950694B2 (en) 2021-03-16
KR20190049331A (ko) 2019-05-09
CN109728071B (zh) 2023-05-23
KR102097627B1 (ko) 2020-04-06
US20190131399A1 (en) 2019-05-02
DE102017126510A1 (de) 2019-05-02
TW201917890A (zh) 2019-05-01
US20210226008A1 (en) 2021-07-22
US20230352533A1 (en) 2023-11-02
US20190288068A1 (en) 2019-09-19
US10347720B2 (en) 2019-07-09
CN109728071A (zh) 2019-05-07
US11742386B2 (en) 2023-08-29
US20220367632A1 (en) 2022-11-17
US11450741B2 (en) 2022-09-20

Similar Documents

Publication Publication Date Title
TWI668863B (zh) 半導體結構及其製造方法
US11854811B2 (en) FinFET device and method of forming
US11935955B2 (en) Semiconductor device and methods of forming same
US10854459B2 (en) Gate structure passivating species drive-in method and structure formed thereby
US20210118740A1 (en) Semiconductor Device and Method
US10049938B2 (en) Semiconductor devices, FinFET devices, and manufacturing methods thereof
KR102319993B1 (ko) 높은 표면 도펀트 농도 형성 공정 및 이에 의해 형성된 구조물
US11205597B2 (en) Semiconductor device and method
US10868131B2 (en) Gaseous spacer and methods of forming same
KR20200037110A (ko) 반도체 디바이스 및 방법
TWI758655B (zh) 半導體裝置及其形成方法