CN102208393B - 半导体元件与其形成方法 - Google Patents

半导体元件与其形成方法 Download PDF

Info

Publication number
CN102208393B
CN102208393B CN2010105257998A CN201010525799A CN102208393B CN 102208393 B CN102208393 B CN 102208393B CN 2010105257998 A CN2010105257998 A CN 2010105257998A CN 201010525799 A CN201010525799 A CN 201010525799A CN 102208393 B CN102208393 B CN 102208393B
Authority
CN
China
Prior art keywords
substrate
dielectric film
hole
layer
conductive unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2010105257998A
Other languages
English (en)
Other versions
CN102208393A (zh
Inventor
张宏宾
邱建明
吴仓聚
眭晓林
余振华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102208393A publication Critical patent/CN102208393A/zh
Application granted granted Critical
Publication of CN102208393B publication Critical patent/CN102208393B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13022Disposition the bump connector being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13109Indium [In] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13116Lead [Pb] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01327Intermediate phases, i.e. intermetallics compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种半导体元件与其形成方法,应用于堆叠裸片形态的多层内连线结构。首先形成多个穿透基板通孔于半导体基板中。接着薄化半导体基板的背面以露出穿透基板通孔。之后形成绝缘膜于半导体基板背面上与露出的穿透基板通孔上。之后形成的第一导电单元分别电性耦合至每一穿透基板通孔,且第一导电单元延伸于绝缘膜上。接着形成一或多个额外绝缘膜与导电单元。之后形成连线单元如焊球以电性耦合至最上层的导电单元。本发明可避免或减少扩散的问题。

Description

半导体元件与其形成方法
技术领域
本发明涉及集成电路,且更特别涉及应用在含有穿透基板通孔的基板的内连线结构。
背景技术
半导体产业如集成电路的快速成长,奠基于不同电子元件如晶体管、二极管、电阻、电容、或类似物其集成密度的快速成长。集成密度的改善大部分归功于最小结构尺寸的持续缩减,这使整合至固定面积的元件数目不断增加。
对二维元件来说,改良集成度是基本的。在这里,集成元件主要存在于半导体晶片的表面。虽然大幅改善的光刻工艺会可观的改良二维集成电路,但二维的密度仍有其物理限制。限制之一为集成元件需有最小体积。此外,当越多的元件被置入单一芯片时,需要越复杂的设计。
三维的集成电路用以进一步增加集成密度。在三维的集成电路的一般工艺中,将两个裸片接合后,形成电性连接于每一裸片的接触垫与基板的接触垫之间。举例来说,某些公知方法接合两个裸片的顶部。接着将裸片堆叠接合至承载基板,并以打线接合的方法电性耦合每一裸片的接触垫至承载基板上的接触垫。上述方法中的承载基板需大于裸片堆叠,以利打线接合。
较新的方法则采用穿透基板通孔(TSV)。一般的TSV的形成方法是垂直蚀刻基板形成通孔,接着将导电材料如铜填入通孔。之后薄化基板背面以露出TSV,再将另一裸片接合至露出的TSV,以形成堆叠裸片封装。
发明内容
为克服现有技术中的缺陷,本发明提供一种半导体元件,包括第一基板;多个穿透基板通孔穿过第一基板,且穿透基板通孔自第一基板的背面凸起;第一绝缘膜位于第一基板的背面上及穿透基板通孔之间,且第一绝缘膜的上表面未超过凸起的穿透基板通孔;第一重新分布层延伸于第一绝缘膜上,第一重新分布层具有第一导电单元,且第一导电单元分别电性接触穿透基板通孔并延伸于第一绝缘膜上;第二绝缘膜位于第一重新分布层上;以及第二重新分布层延伸于第二绝缘膜上,第二重新分布层具有第二导电单元,且第二导电单元分别电性接触第一导电单元并延伸于第二绝缘膜上。
本发明也提供一种形成半导体元件的方法,包括提供第一基板,具有穿透基板通孔自第一基板的第一面穿入第一基板中;自第一基板的第二面露出穿透基板通孔;沿着第一基板的第二面形成第一绝缘膜,且第一绝缘膜仍露出穿透基板通孔;形成第一导电单元于穿透基板通孔上,且第一导电单元延伸于第一绝缘膜的上表面上;形成第二绝缘膜于第一绝缘膜上及第一导电单元上;以及形成第二导电单元电性耦合至第一导电单元,且第二导电单元延伸于第二绝缘膜的上表面上。
本发明更提供一种形成半导体元件的方法,包括提供基板,基板具有穿透基板通孔自基板的电路面延伸至基板的背面;形成重新分布层,包括形成第一绝缘膜于基板的背面上;露出至少部分上述穿透基板通孔;以及形成第一导电单元分别电性耦合至上述穿透基板通孔,且第一导电单元延伸于第一绝缘膜的上表面上;以及形成额外重新分布层,包括形成额外绝缘膜于最上层的绝缘膜上;露出至少部分较下层的导电单元;以及形成额外导电单元分别电性耦合至较下层的导电单元,且额外导电单元延伸于额外绝缘膜的上表面上。
本发明可避免或减少扩散的问题。
附图说明
图1-图16显示本发明一实施例中,多层内连线结构的工艺剖示图;
图17-图25显示本发明另一实施例中,多层内连线结构的工艺剖示图;
图26-图35显示本发明又一实施例中,多层内连线结构的工艺剖示图;以及
图36-图40显示本发明更一实施例中,多层内连线结构的工艺剖示图。
其中,附图标记说明如下:
110~半导体基板;112~电路;114~蚀刻停止层;116~层间介电层;118~接触物;120~金属间介电层;122~金属接触物;124~穿透基板通孔;126~衬垫物;128~导电凸块;130~承载基板;132~接着物;310、2610~第一绝缘膜;510、3210~第一导电层;610、1810、3310、3710~第一图案化掩模;710、1910、3410、3810~第一导电单元;810~第二绝缘膜;910、2210~第二图案化掩模;1110~第二导电层;1210~第三图案化掩模;1310、2310~第二导电单元;1410~第三绝缘膜;1510~第四图案化掩模;1610~连线单元;1710、3610~第一籽晶层;2110~第二籽晶层;2710~第一掩模层。
具体实施方式
本发明的实施例的制备与应用将详述于下。然而必需理解的是,实施例用以说明可应用于特定方面的概念,但该些特定实施例仅用以举例而非局限本发明范畴。
下述实施例有关于应用在含有穿透基板通孔的基板的内连线结构。如下所述,实施例中将整合多层内连线结构与重新分布层,可具有更佳的工艺弹性以应用于不同的接脚组态。值得注意的是,用以举例的实施例只含有两层内连线层。但在其他实施例中,实施例中的工艺或其他类似工艺可形成多层的内连线结构,其内连线层的数目大于二。
图1-图16适用于三维集成电路或堆叠裸片组态的裸片的工艺剖示图,上述裸片具有内连线结构及/或重新分布层。在不同图示中,相同标号用以标示相同元件。
如图1所示,半导体基板110具有电路112形成其上。半导体基板110可包含掺杂或未掺杂的基体硅,或绝缘层上半导体(SOI)基板的有源层。一般的SOI基板包含半导体材料层如硅形成于绝缘层上。绝缘层可为氧化埋层(BOX)或氧化硅层。绝缘层形成于基板如硅基板或玻璃基板上。除了上述例子外,基板可为其他材料如多层基板或组成渐变式(gradient)基板。
形成于半导体基板110上的电路112可为任何特定用途的电路。在一实施例中,电路包括形成于基板上的电子元件,与位于电子元件上的一或多层介电层。在介电层间可形成金属层以传递电子元件之间的电子信号。电子元件亦可形成于一或多个介电层中。
举例来说,电路112可包含多种内连线的n型金属氧化物半导体(NMOS)或p型金属氧化物半导体(pMOS)元件如晶体管、电容、电阻、光二极管、熔线、或类似物,以执行一或多个功能。上述元件包含存储器结构、处理器结构、传感器、放大器、功率分配器、输入/输出电路、及/或类似物。本领域技术人员应理解上述实施例仅用以解释本发明,并非用以限制其他实施例。其他电路亦可适用于本发明。
图1所示的结构也包含蚀刻停止层114与层间介电层116。蚀刻停止层114由介电材料组成,其蚀刻选择性不同于邻近的其他层,如其下的半导体基板110与其上的层间介电层116。在一实施例中,蚀刻停止层114可为SiN、SiCN、SiCO、CN、上述的组合、或类似物。蚀刻停止层114的形成方法可为沉积法如化学气相沉积(CVD)或等离子体增强式CVD(PECVD)。
层间介电层116的组成可为低介电常数的介电材料,如氧化硅、含磷硅酸盐玻璃(PSG)、含硼磷硅酸盐玻璃(BPSG)、氟化硅酸盐玻璃(FSG)、SiOxCy、旋涂玻璃、旋涂高分子、碳化硅材料、上述的化合物、上述的复合物、或类似物。层间介电层116的形成方法可为本领域的任何合适方法如旋涂法、CVD、或PECVD。必需注意的是,蚀刻停止层114与层间介电层116可各自包含多层的介电层,在相邻的介电层之间可含有或不含有蚀刻停止层。
穿过层间介电层116的接触物118可提供电性接触至电路112。接触物118的形成方法包含以光刻工艺沉积并图案化光致抗蚀剂材料于层间介电层116上,露出的部分层间介电层116将对应后续形成的接触物118。接着以蚀刻工艺如各向异性干蚀刻工艺形成开口于层间介电层116中。接着将扩散阻挡层及/或粘着层(未图示)作为开口衬垫,再填入导电材料。上述扩散阻挡层可包含一或多层的TaN、Ta、、TiN、Ti、CoW、或类似物。上述导电材料可包含铜、钨、铝、银、上述的组合、或类似物。经上述步骤后,即形成图1所示的接触物118。
在层间介电层116上形成有金属间介电层120与相关的金属化层(未图示)。一般来说,一或多层的金属间介电层120与相关的金属化层用以使电路之间彼此内连线,并提供外部电性连线。金属间介电层120的组成可为适合的介电材料如低介电常数材料,例如PECVD或高密度等离子体CVD(HDPCVD)形成的FSG。金属间介电层120亦可包含层间蚀刻停止层如蚀刻停止层114。金属接触物122形成于最上层的金属间介电层中,以提供外部电性连接。
图1的结构中也包含穿透基板通孔124,其形成方法可为任何合适方法。举例来说,在形成层间介电层116前,即可以一或多道蚀刻工艺、钻孔工艺、激光技术、或类似方法形成开口延伸至半导体基板110中。开口衬有衬垫物126作为绝缘层,并填充导电材料。衬垫物126可包含一或多层介电层如SiN、氧化物、高分子、上述的组合、或类似物。导电材料可包含一或多层的导电材料如铜、钨、铝、银、上述的组合、或类似物。经上述工艺后即形成穿透基板通孔124。上述结构可采用其他材料如导电物的扩散阻挡层,例如TaN、Ta、TiN、Ti、CoW、或类似物。
必需注意的是,图中的穿透基板通孔124由半导体基板110的上表面延伸至半导体基板110中,但穿透基板通孔124也可采用其他型态。举例来说,其他实施例的穿透基板通孔124可由层间介电层116或多层金属间介电层120之一的上表面往下延伸。举例来说,一实施例在形成接触物118之后,再以一或多道蚀刻工艺、钻孔工艺、激光技术、或类似方法形成穿透基板通孔124。其他型态的开口也可衬有衬垫物126作为绝缘层,并填有上述的导电材料。
导电凸块128如金属凸块Cu、W、CuSn、AuSn、InAu、PbSn、或类似物形成于顶端的金属接触物122上。承载基板130是以接着物132粘合至金属间介电层120的上表面上。一般来说,承载基板130可在后续工艺步骤中提供暂时的机械与结构支撑力。上述组态可避免或减少半导体基板110受到损伤。
承载基板130可包含玻璃、氧化硅、氧化铝、或类似物。接着物132可包含适当接着物如紫外线胶,在曝晒紫外线后将失去其接着性。承载基板130的厚度介于几微米至数十微米之间,端视特定应用中材料组成与所需的支撑力而定。
如图2所示的实施例中,在半导体基板110的背面进行薄化工艺后,露出穿透基板通孔124/衬垫物126。薄化工艺可为机械研磨法、化学机械研磨(CMP)、蚀刻工艺、及/或上述的组合。举例来说,首先进行平坦化工艺如研磨或CMP,先露出穿透基板通孔124。接着进行在衬垫物126与半导体基板110之间具有高蚀刻选择比的湿式或干式蚀刻,让半导体基板110凹化,并使保留的穿透基板通孔124与衬垫物凸出保留的半导体基板110,如图2所示。在一实施例中,穿透基板通孔124由铜组成,衬垫物126由TaN组成,而让半导体基板110凹化的干蚀刻工艺气体为HBr/O2、HBr/Cl2、SF6/Cl2、SF6等离子体、或类似物。在一实施例中,穿透基板通孔124与衬垫物126凸出的部分介于次微米至数微米之间。
图3显示第一绝缘膜310形成于半导体基板110的背面(或半导体基板110背面上的原生氧化层)上。在一实施例中,第一绝缘膜310是介电材料如SiN、氧化物、SiC、SiON、高分子、或类似物。第一绝缘膜310的形成方法可为旋转涂布法、印刷法、CVD工艺、或类似方法。第一绝缘膜310可由低温工艺形成,如小于250℃的PECVD工艺,以避免劣化元件之间的结合力并确保元件的机械强度。如图3所示的实施例,第一绝缘膜310的厚度需足以覆盖凸出的穿透基板通孔124。
第一绝缘膜的形成工艺将决定是否进行后续的平坦化工艺。在特定实施例中,某些沉积方法如旋转涂布法可形成平坦化表面。但其他沉积方法如CVD工艺将形成共形层,这需要进行平坦化工艺如研磨或CMP工艺以提供图3所示的平坦表面。
在图4的实施例中,第二次露出穿透基板通孔124。薄化工艺可为机械研磨工艺、CMP工艺、蚀刻工艺、及/或上述的组合。举例来说,首先进行平坦化工艺如研磨或CMP,先露出穿透基板通孔124。接着进行在穿透基板通孔124/衬垫物126与第一绝缘膜310的材料之间具有高蚀刻选择比的湿式或干式蚀刻,让第一绝缘膜310凹化,并使保留的穿透基板通孔124凸出保留的第一绝缘膜310,如图4所示。在一实施例中,穿透基板通孔124由铜组成,第一绝缘膜310由二氧化硅组成,而让第一绝缘膜310凹化的工艺可为湿蚀刻工艺如氢氟酸,或干蚀刻工艺如CF4、CHF3、CH2F2、C4F8、Ar、O2、或上述的组合。除了上述方式以外,也可采用其他工艺或材料。在一实施例中,穿透基板通孔124凸出的部分介于次微米至数微米之间。如图4所示,上述凹化第一绝缘膜310的工艺亦移除露出的衬垫物126。移除衬垫物126的步骤与凹化第一绝缘膜310的步骤可相同或分开,端视两者的材料而定。
如图5所示,第一导电层510沉积于第一绝缘膜310与露出的穿透基板通孔124的表面上。在一实施例中,第一导电层510可由沉积法如CVD或PVD顺应性地形成导电层如铝、铝合金、钨、铜、钛、钽、氮化钛、氮化钽、或类似物于上述结构上。
在图6的实施例中,第一图案化掩模610形成于第一导电层510上。第一图案化掩模610定义第一导电层510作为导电垫与重新分布线路的部分,将详述于下。第一图案化掩模610可为图案化光致抗蚀剂掩模、硬掩模、上述的组合、或类似物。在一实施例中,沉积次微米至数微米的光致抗蚀剂材料后,以光刻工艺图案化光致抗蚀剂材料以形成第一图案化掩模610。第一图案化掩模610亦可为复合层。
接着如图7所示,进行蚀刻工艺图案化第一导电层510,以形成第一导电单元710作为导电垫与重新分布线路。本领域技术人员应了解,左侧的两个第一导电单元710覆盖穿透基板通孔124,而右侧的第一导电单元710则未直接位于穿透基板通孔上。第一导电单元710可作为第一重新分布层,其中导电单元提供电性连接至穿透基板通孔,并提供重新分布线路。综上所述,位于穿透基板通孔124上的左侧两个第一导电单元710可延伸出或延伸入纸面,以提供特定应用不同的针脚输出组态,而不受限于穿透基板通孔124的位置。右侧的第一导电单元710是重新分布线路之一,可延伸出或延伸入纸面以连接至某一穿透基板通孔,且某一穿透基板通孔可为图示或非图示的穿透基板通孔。上述型态的针脚输出组态不同于穿透基板通孔的位置,这将使半导体元件的设计更具弹性。
上述蚀刻工艺可为干蚀刻或湿蚀刻。举例来说,一实施例的第一导电层510由铝形成,且蚀刻工艺可采用Cl2与BCl3
在蚀刻工艺后剥除光致抗蚀剂的方法可为灰化工艺,如采用氧气的等离子体灰化工艺或其他剥除步骤。接着进行洁净步骤如湿式浸润于稀氢氟酸或有机化学品(如EKC或ST250)中,移除第一导电单元710与第一绝缘膜310表面上的任何污染物。
在图8的实施例中,第二绝缘膜810形成于半导体基板110的背面上。第二绝缘膜810是介电材料如SiN、氧化物、SiC、SiON、高分子、SOG、上述的组合、或类似物。第二绝缘膜810的形成方法可为旋转涂布法、印刷法、CVD工艺、或类似方法。第二绝缘膜810可由低温工艺形成,如小于250℃的PECVD工艺,以避免劣化元件之间的结合力并确保元件的机械强度。如图8所示的实施例,第二绝缘膜810的厚度需足以覆盖第一导电单元710。
第二绝缘膜810的形成工艺将决定是否进行后续的平坦化工艺。在特定实施例中,某些沉积方法如旋转涂布法可形成平坦化表面。但其他沉积方法如CVD工艺将形成共形层,这需要进行平坦化工艺如研磨或CMP工艺以提供图8所示的平坦表面。然而必需注意的是,若采用自我平坦化工艺如旋涂法形成实质上平坦表面的第二绝缘膜810,可省略额外的平坦化工艺如CMP。
在图9的实施例中,第二图案化掩模910形成于第二绝缘膜810上。第二图案化掩模910定义穿过第二绝缘膜810至第一导电单元710的接触物,将详述于下。第二图案化掩模910可为图案化光致抗蚀剂掩模、硬掩模、上述的组合、或类似物。在一实施例中,沉积次微米至数微米的光致抗蚀剂材料后,以光刻工艺图案化光致抗蚀剂材料以形成第二图案化掩模910。
接着如图10所示,进行蚀刻工艺工艺以图案化第二绝缘膜810,形成接触开口至第一导电单元710,以形成导电垫与重新分布线路。蚀刻工艺可为干蚀刻或湿蚀刻。在一实施例中,第二绝缘膜810由二氧化硅形成。蚀刻第二绝缘膜810的步骤可为干蚀刻,采用CF4、CHF3、CH2F2、C4F8、Ar、及/或O2。除了上述实施例外,亦可采用其他工艺或材料。
在蚀刻工艺后剥除光致抗蚀剂的方法可为灰化工艺,如采用氧气的等离子体灰化工艺或其他剥除步骤。接着进行洁净步骤如湿式浸润于稀氢氟酸或有机化学品(如EKC或ST250)中,移除第一导电单元710与第二绝缘膜810表面上的任何污染物。
如图11所示,第二导电层1110沉积于第二绝缘膜810与露出的第一导电单元710的表面上。在一实施例中,第二导电层1110可由沉积法如CVD或PVD顺应性地形成导电层如铝、铝合金、钨、铜、钛、钽、氮化钛、氮化钽、或类似物于上述结构上。
本领域技术人员应理解图11中的结构仅电性连接至右侧的第一导电单元710。在一实施例中,左侧的两个第一导电单元710可延伸出或延伸入纸面以耦合至分开的重新分布线路。相同地,右侧的第一导电单元710可电性连接至未图示的穿透基板通孔。然而第一导电单元可直接形成于穿透基板通孔上。
在图12的实施例中,第三图案化掩模1210形成于第二导电层1110上。第三图案化掩模可保护部分的第二导电层1110,以作为含有导电垫与重新分布线路的第二重新分布层,将详述于下。第三图案化掩模1210可为图案化光致抗蚀剂掩模、硬掩模、上述的复合层、或类似物。在一实施例中,光致抗蚀剂材料的沉积厚度为约次微米级至约数微米级,接着图案化光致抗蚀剂材料以形成第一图案化掩模1210。
之后如图13所示,进行蚀刻工艺以图案化第二导电层1110以形成第二导电单元1310,作为第二重新分布层中的导电垫与重新分布线路。蚀刻工艺可为干蚀刻或湿蚀刻。在蚀刻工艺后剥除光致抗蚀剂的方法可为灰化工艺,如采用氧气的等离子体灰化工艺或其他剥除步骤。接着进行洁净步骤如湿式浸润于稀氢氟酸或有机化学品(如EKC或ST250)中,移除第二导电单元1310与第二绝缘膜810表面上的任何污染物。
图13的结构中含有两个第二导电单元1310。左侧的第二导电单元710可延伸出或延伸入纸面,以电性连接至分开的第一导电单元710,而分开的第一导电单元710又电性连接至分开的穿透基板通孔124。
在图14的实施例中,第三绝缘膜1410是绝缘材料如SiN、氧化物、SiC、SiON、高分子、SOG、上述的组合、或类似物。第三绝缘膜1410的形成方法可为旋转涂布法、印刷法、CVD工艺、或类似方法。在一实施例中,第三绝缘膜1310可由低温工艺形成,如小于250℃的PECVD工艺,以避免劣化元件之间的结合力并确保元件的机械强度。在一实施例中,第三绝缘膜1410的厚度需足以覆盖第二导电单元1310。
第三绝缘膜1410的形成工艺将决定是否进行后续的平坦化工艺。在特定实施例中,某些沉积方法如旋转涂布法可形成平坦化表面。但其他沉积方法如CVD工艺将形成共形层,这需要进行平坦化工艺如研磨或CMP工艺以提供图14所示的平坦表面。然而必需注意的是,若采用自我平坦化工艺如旋涂法形成实质上平坦表面的第二绝缘膜1410,可省略额外的平坦化工艺如CMP。
在图15的实施例中,第四图案化掩模1510形成于第三绝缘膜1410上。第四图案化掩模1510可定义露出的第二导电单元1310的区域,接着在露出的第二导电单元上形成导电凸块的步骤将详述于下。第四图案化掩模1510可为图案化光致抗蚀剂掩模、硬掩模、上述的组合、或类似物。在一实施例中,沉积次微米至数微米厚的光致抗蚀剂材料后,图案化光致抗蚀剂材料以形成第四图案化掩模1510。
之后进行蚀刻工艺以图案化第三绝缘膜1410,露出部分的第二导电单元1310,以利后续导电凸块形成其上。蚀刻工艺可为干蚀刻或湿蚀刻。在蚀刻工艺后剥除光致抗蚀剂的方法可为灰化工艺,接着进行洁净步骤移除第二导电单元1310与第三绝缘膜1410表面上的任何污染物。
在图16的实施例中,形成连线单元1610于第二导电单元1310的露出部分上。连线单元1610可为任何合适导电材料如Cu、Ni、Sn、Au、Ag、或类似物,其形成方法可为任何合适方法如蒸镀法、电镀法、印刷法、喷涂法、柱形凸块、直接置换法、或类似方法。
最后,可进行任何合适的后端(BEOL)工艺以适用于特定应用。举例来说,可进行其他步骤如移除承载基板130、进行切割工艺以形成分开的裸片、晶片等级或裸片等级的堆叠工艺、或类似工艺。必需注意的是,上述实施例可应用于多种情况中。举例来说,可应用于裸片-裸片的接合组态、裸片-晶片的接合组态、或晶片-晶片的接合组态。
图17-图24是本发明另一实施例中,适用于三维集成电路或堆叠裸片组态的裸片的工艺剖示图,上述裸片具有多层内连线结构及/或重新分布层。此实施例与前述的实施例类似,差别在于采用导电的籽晶层而非单一导电材料层。
首先,第二种方法的起始工艺与前述图1-图4的工艺相同。接着如图17所示,顺应性地沉积第一籽晶层1710于第一绝缘膜310与穿透基板通孔124露出部分的表面上。第一籽晶层1710为薄层的导电材料,在后续工艺中可帮助形成较厚的层。在一实施例中,第一籽晶层1710可为沉积法如CVD或PVD所形成的导电薄层如Cu、Ti、Ta、TiN、TaN、或类似物。举例来说,以PVD工艺沉积Ti层以形成阻挡层,接着以PVD工艺沉积Cu层以形成籽晶层。
在图18的实施例中,第一图案化掩模1810形成于第一籽晶层1710上。第一图案化掩模1810可作为后续形成的导电垫与重新分布线路的模具。第一图案化掩模1810可为图案化光致抗蚀剂掩模、硬掩模、上述的组合、或类似物。在一实施例中,沉积次微米至数微米的光致抗蚀剂材料后,以光刻工艺图案化光致抗蚀剂材料以形成开口,如图18所示。
值得注意的是,图18的方形开口仅为举例。在其他实施例中,可采用锥形开口,其底部比顶部宽。在另一实施例中,可采用凹形开口,其顶部比底部宽。
之后如图19所示,形成第一导电单元1910于第一图案化掩模1810的开口中。第一导电单元1910可为金属如铜、钨、或其他导电金属,其形成方法可为电镀法、无电电镀法、或类似方法。在一实施例中,电镀法是将晶片浸入电镀溶液中。晶片表面电性连接至外部直流电源的负极,使晶片作为电镀工艺的阴极。电镀法的阳极为导电固体如铜阳极,亦浸入电镀溶液并接触外部直流电源的正极。铜阳极的原子将溶解于电镀溶液中,并电镀至晶片露出的导电区域,如第一图案化掩模1810的开口所露出的第一籽晶层1710。
在图20的实施例中,移除第一图案化掩模1810(见图18-图19)。在一实施例中,第一图案化掩模1810为光致抗蚀剂掩模,因此可采用等离子体灰化或湿式剥除法移除第一图案化掩模1810。一种适合的灰化工艺为采用氧气的等离子体灰化。
如图20所示,移除露出的第一籽晶层1710,其方法可为湿式蚀刻工艺。接着可视情况需要进行洁净工艺,比如将上述结构浸润于稀氢氟酸或有机化学品(如EKC或ST250)的湿式法,以洁净晶片并移除残留的光致抗蚀剂材料与籽晶层。
在图21中,形成第二绝缘膜810于图20的结构上,并图案化第二绝缘膜810。第二绝缘膜810的材料及工艺与前述图8-图10的第二绝缘膜810类似。
在图21的实施例中,亦形成第二籽晶层2110。第二籽晶层2110的材料及工艺与图17所示的第一籽晶层1710类似。
图22的实施例中,第二图案化掩模2210形成于第二籽晶层2110上。第二图案化掩模2210可作为后续形成的导电垫与重新分布线路的模具,与图18的第一图案化掩模1810形态类似。第二图案化掩模2210可为图案化光致抗蚀剂掩模、硬掩模、上述的组合、或类似物。在一实施例中,沉积次微米至数微米的光致抗蚀剂材料后,以光刻工艺图案化光致抗蚀剂材料以形成开口,如图22所示。
之后如图23所示,形成第二导电单元2310于第二图案化掩模2210的开口中。第二导电单元2310可为任合合适的导电材料,例如金属如铜、钨、或其他导电金属,其形成方法可为电镀法、无电电镀法、或类似方法。在一实施例中,第二导电单元2310的形成方法及材料与图19所示的第一导电单元1910类似。
如图24所示,移除第二图案化掩模2210与残留的第二籽晶层2110,其移除方法与相对材料与第20图对应的内容类似。
接着可采用与图14-图16类似的工艺与材料,形成并图案化第三绝缘膜1410及连线单元1610如图25所示,且之后可再进行其他的后端工艺。
图26-图35是本发明又一实施例中,适用于三维集成电路或堆叠裸片组态的裸片的工艺剖示图,上述裸片具有多层内连线结构及/或重新分布层。此实施例的起始步骤与前述的图1-图2所示的步骤类似。接着如图26所示,形成第一绝缘膜2610。第一绝缘膜2610是共形膜,其工艺与材料与前述图3中的第一绝缘膜310类似,除了图3的第一绝缘膜310的厚度大于穿透基板通孔124的凸出高度以外(图26的第一绝缘膜2610的厚度小于穿透基板通孔124的凸出高度)。举例来说,穿透基板通孔124自半导体基板110背面凸出约2微米至约3微米,而第一绝缘膜2610的厚度为约1微米至约1.5微米。
如图27所示,接着形成第一掩模层2710于图26的结构中的第一绝缘膜2610上。第一掩模层2710可为光致抗蚀剂材料,其工艺与材料可与图6所示的第一图案化掩模610类似。
接着如图28所示,进行回蚀刻工艺以薄化第一掩模层2710,并露出位于穿透基板通孔124上的第一绝缘膜2610。在一实施例中,第一掩模层2710采用光致抗蚀剂材料,而对应的回蚀刻工艺可采用氧气等离子体的干蚀刻工艺。
当第一掩模层2710露出穿透基板通孔124后,将移除位于穿透基板通孔124上的第一绝缘膜2610,以露出衬垫物126如图29所示。在一实施例中,第一绝缘膜2610的组成为氧化硅,而使第一绝缘膜2610凹化的工艺可为湿式的氢氟酸或干式的CF4、CHF3、CH2F2、C4F8、Ar、及/或O2。除了上述工艺与材料外,亦可采用其他工艺与材料。
如图30所示,在蚀刻工艺后可剥除光致抗蚀剂,其方法可为灰化工艺如采用氧气的等离子体灰化工艺或其他合适的剥除工艺。
在剥除光致抗蚀剂后,可移除覆盖穿透基板通孔124的衬垫物126以露出穿透基板通孔124,如图31所示。在一实施例中,衬垫物126可由PECVD工艺形成,且可由采用CF4、CHF3、CH2F2、C4F8、Ar、及/或O2的干蚀刻移除,均视衬垫物的材料种类而定。除了上述工艺与材料外,亦可采用其他工艺与材料。
如图32所示,第一导电层3210沉积于第一绝缘膜2610与露出的穿透基板通孔124的表面上。在一实施例中,第一导电层3210可由沉积法如CVD或PVD顺应性地形成导电层如铝、铝合金、钨、铜、钛、钽、氮化钛、氮化钽、或类似物于上述结构上。
在图33的实施例中,第一图案化掩模3310形成于第一导电层3210上。第一图案化掩模3310将保护第一导电层3210作为导电垫与重新分布线路的部分,将详述于下。第一图案化掩模3310可为图案化光致抗蚀剂掩模、硬掩模、上述的组合、或类似物。在一实施例中,沉积次微米至数微米的光致抗蚀剂材料后,以光刻工艺图案化光致抗蚀剂材料以形成第一图案化掩模3310。第一图案化掩模3310亦可为复合层。
接着如图34所示,进行蚀刻工艺图案化第一导电层3210,以形成第一导电单元3410作为导电垫与重新分布线路。本领域技术人员应了解,左侧的两个第一导电单元3410覆盖穿透基板通孔124,而右侧的第一导电单元3410则未直接位于穿透基板通孔上。第一导电单元710可作为第一重新分布层,其中导电单元提供电性连接至穿透基板通孔,并提供重新分布线路。综上所述,位于穿透基板通孔124上的左侧两个第一导电单元3410可延伸出或延伸入纸面,以符合特定应用。右侧的第一导电单元710是重新分布线路之一,可延伸出或延伸入纸面以连接至某一穿透基板通孔。上述型态的针脚输出组态不同于穿透基板通孔的位置,这将使半导体元件的设计更具弹性。
上述蚀刻工艺可为干蚀刻或湿蚀刻。在蚀刻工艺后剥除光致抗蚀剂的方法可为灰化工艺,如采用氧气的等离子体灰化工艺或其他剥除步骤。接着进行洁净步骤如湿式浸润于稀氢氟酸或有机化学品(如EKC或ST250)中,移除第一导电单元3410与第一绝缘膜2610表面上的任何污染物。
如图35所示,在图34的结构上形成第二绝缘膜810、第二导电单元1310、第三绝缘膜1410、及连线单元1610,其形成方法可与第8-16图对应元件的形成方法类似。
最后,可进行任何合适的后端工艺以适用于特定应用。举例来说,可进行其他步骤如移除承载基板130、进行切割工艺以形成分开的裸片、晶片等级或裸片等级的堆叠工艺、或类似工艺。必需注意的是,上述实施例可应用于多种情况中。举例来说,可应用于裸片-裸片的接合组态、裸片-晶片的接合组态、或晶片-晶片的接合组态。
图36-图40是本发明又一实施例中,适用于三维集成电路或堆叠裸片组态的裸片的工艺剖示图,上述裸片具有多层内连线结构及/或重新分布层。此实施例与图26-图35所示的实施例类似,差别在于采用导电的籽晶层而非单一导电材料层。
综上所述,此实施例的起始步骤与图1-图2所示的步骤类似,接着进行图26-图31所示的步骤。接着如图36所示,顺应性地沉积第一籽晶层3610于第一绝缘膜2610与穿透基板通孔124露出部分的表面上。第一籽晶层3610为薄层的导电材料,在后续工艺中可帮助形成较厚的层。在一实施例中,第一籽晶层3610可为沉积法如CVD或PVD所形成的导电薄层如Cu、Ti、Ta、TiN、TaN、或类似物。举例来说,以PVD工艺沉积Ti层以形成阻挡层,接着以PVD工艺沉积Cu层以形成籽晶层。
在图37的实施例中,第一图案化掩模3710形成于第一籽晶层3610上。第一图案化掩模3710可作为后续形成的导电垫与重新分布线路的模具。第一图案化掩模3710可为图案化光致抗蚀剂掩模、硬掩模、上述的组合、或类似物。在一实施例中,沉积次微米至数微米的光致抗蚀剂材料后,以光刻工艺图案化光致抗蚀剂材料以形成开口,如图37所示。
必需注意的是,图37的方形开口仅为举例。在其他实施例中,可采用锥形开口,其底部比顶部宽。在另一实施例中,可采用凹形开口,其顶部比底部宽。
之后如图38所示,形成第一导电单元3810于第一图案化掩模3710的开口中。第一导电单元3810可为金属如铜、钨、或其他导电金属,其形成方法可为电镀法、无电电镀法、或类似方法。在一实施例中,电镀工艺可类似于图19所对应的内容。
在图39的实施例中,移除第一图案化掩模3710(见图37-图38)。在一实施例中,第一图案化掩模3710为光致抗蚀剂掩模,因此可采用等离子体灰化或湿式剥除法移除第一图案化掩模3710。一种适合的灰化工艺为采用氧气的等离子体灰化。
如图39所示,移除露出的第一籽晶层3610,其方法可为湿式蚀刻工艺。
如图40所示,在图39的结构上形成第二绝缘膜810、第二导电单元1310、第三绝缘膜1410、及连线单元1610,其形成方法可与第8-16图对应元件的形成方法类似。
最后,可进行任何合适的后端工艺以适用于特定应用。举例来说,可进行其他步骤如移除承载基板130、进行切割工艺以形成分开的裸片、晶片等级或裸片等级的堆叠工艺、或类似工艺。必需注意的是,上述实施例可应用于多种情况中。举例来说,可应用于裸片-裸片的接合组态、裸片-晶片的接合组态、或晶片-晶片的接合组态。
本领域技术人员应了解上述重新分布层的导电元件其形成方法为非镶嵌工艺。如此一来,在采用低温介电膜的情况下,即使不采用平坦化工艺如CMP工艺也可使介电膜具有不错的平坦性。与其他采用CMP技术的方法相较,上述方法亦可避免或减少扩散的问题。
虽然本发明已以数个优选实施例公开如上,然其并非用以限定本发明,任何本领域技术人员,在不脱离本发明的精神和范围内,当可作任意的更动与润饰,因此本发明的保护范围当视随附的权利要求所界定的保护范围为准。

Claims (8)

1.一种半导体元件,包括:
一第一基板;
多个穿透基板通孔穿过该第一基板,且所述多个穿透基板通孔自该第一基板的背面凸起;
一第一绝缘膜位于该第一基板的背面上及所述多个穿透基板通孔之间,且该第一绝缘膜的上表面低于凸起的所述多个穿透基板通孔;
一第一重新分布层延伸于该第一绝缘膜上,该第一重新分布层具有多个第一导电单元,且所述多个第一导电单元分别电性接触每一穿透基板通孔并延伸于该第一绝缘膜上;
一第二绝缘膜位于该第一重新分布层上;以及
一第二重新分布层延伸于该第二绝缘膜上,该第二重新分布层具有多个第二导电单元,且所述多个第二导电单元分别电性接触每一第一导电单元并延伸于该第二绝缘膜上。
2.如权利要求1所述的半导体元件,其中该第一导电单元包括一籽晶层位于所述多个穿透基板通孔上,以及一导电层位于该籽晶层上。
3.如权利要求1所述的半导体元件,其中所述多个穿透硅通孔延伸出该第一绝缘膜。
4.一种形成半导体元件的方法,包括:
提供一第一基板,具有多个穿透基板通孔自该第一基板的第一面穿入该第一基板中;
自该第一基板的第二面露出所述多个穿透基板通孔;
沿着该第一基板的第二面形成一第一绝缘膜,且该第一绝缘膜仍露出所述多个穿透基板通孔;
形成一第一导电单元于所述多个穿透基板通孔上,且该第一导电单元延伸于该第一绝缘膜的上表面上;
形成一第二绝缘膜于该第一绝缘膜上及该第一导电单元上;以及
形成一第二导电单元电性耦合至该第一导电单元,且该第二导电单元延伸于该第二绝缘膜的上表面上,
其中自该第一基板的第二面露出所述多个穿透基板通孔的步骤,包括蚀刻比所述多个穿透基板通孔表面低的第一基板,使所述多个穿透基板通孔凸出该第一基板。
5.如权利要求4所述的形成半导体元件的方法,其中形成该第一导电单元的步骤包括:
形成一籽晶层于该第一绝缘膜上及所述多个穿透基板通孔上;
形成一图案化掩模于该籽晶层上,且该图案化掩模露出部分该籽晶层;
形成一金属垫于露出部分的该籽晶层上;
移除该图案化掩模层;以及
移除未被该金属垫覆盖的部分籽晶层。
6.如权利要求4所述的形成半导体元件的方法,其中形成该第二绝缘膜的步骤包括一自平坦技术。
7.一种形成半导体元件的方法,包括:
提供一基板,该基板具有一或多个穿透基板通孔自该基板的电路面延伸至该基板的背面;
形成一重新分布层,包括:
形成一第一绝缘膜于该基板的背面上;
露出至少部分上述一或多个穿透基板通孔;以及
形成多个第一导电单元分别电性耦合至上述一或多个穿透基板通孔,且所述多个第一导电单元延伸于该第一绝缘膜的上表面上;以及
形成一或多个额外重新分布层,包括:
形成一额外绝缘膜于该第一绝缘膜上;
露出至少部分较下层的导电单元;以及
形成额外导电单元分别电性耦合至较下层的导电单元,且所述多个额外导电单元延伸于该额外绝缘膜的上表面上,
其中露出所述多个穿透基板通孔的步骤包括让该基板的背面凹入,使所述多个穿透基板通孔自该基板的背面凸起。
8.如权利要求7所述的形成半导体元件的方法,其中形成所述多个第一导电单元的步骤包括:
形成一籽晶层于上述一或多个穿透基板通孔上;
形成一图案化掩模层于该籽晶层上,该图案化掩模层露出部分该籽晶层;
形成一导电垫于露出部分的该籽晶层上;以及
移除该图案化掩模层。
CN2010105257998A 2010-03-30 2010-10-27 半导体元件与其形成方法 Active CN102208393B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/750,100 2010-03-30
US12/750,100 US8466059B2 (en) 2010-03-30 2010-03-30 Multi-layer interconnect structure for stacked dies

Publications (2)

Publication Number Publication Date
CN102208393A CN102208393A (zh) 2011-10-05
CN102208393B true CN102208393B (zh) 2013-05-29

Family

ID=44697150

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010105257998A Active CN102208393B (zh) 2010-03-30 2010-10-27 半导体元件与其形成方法

Country Status (3)

Country Link
US (2) US8466059B2 (zh)
CN (1) CN102208393B (zh)
TW (1) TWI411084B (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8513119B2 (en) * 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US20100171197A1 (en) * 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8791549B2 (en) * 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
KR101142338B1 (ko) * 2010-06-17 2012-05-17 에스케이하이닉스 주식회사 반도체 칩 및 그의 제조방법 및 이를 이용한 스택 패키지
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
US8691691B2 (en) * 2011-07-29 2014-04-08 International Business Machines Corporation TSV pillar as an interconnecting structure
US20130134600A1 (en) * 2011-11-28 2013-05-30 Advanced Semiconductor Engineering, Inc. Semiconductor device and method for manufacturing the same
EP4194465A1 (en) * 2012-02-15 2023-06-14 Bioverativ Therapeutics Inc. Factor viii compositions and methods of making and using same
KR101840846B1 (ko) * 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
KR101916225B1 (ko) * 2012-04-09 2018-11-07 삼성전자 주식회사 Tsv를 구비한 반도체 칩 및 그 반도체 칩 제조방법
US9570398B2 (en) * 2012-05-18 2017-02-14 Xintec Inc. Chip package and method for forming the same
US20130313710A1 (en) * 2012-05-22 2013-11-28 Micron Technology, Inc. Semiconductor Constructions and Methods of Forming Semiconductor Constructions
KR102018885B1 (ko) * 2012-12-20 2019-09-05 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
US8906803B2 (en) 2013-03-15 2014-12-09 Sandia Corporation Method of forming through substrate vias (TSVs) and singulating and releasing die having the TSVs from a mechanical support substrate
KR102032907B1 (ko) * 2013-04-22 2019-10-16 삼성전자주식회사 반도체 소자, 반도체 패키지 및 전자 시스템
TWI514488B (zh) * 2013-06-03 2015-12-21 Powertech Technology Inc 矽穿孔底部形成凸塊之製程與結構
FR3009128A1 (fr) * 2013-07-25 2015-01-30 Commissariat Energie Atomique Procede de realisation d'un plot conducteur sur un element conducteur
US9543229B2 (en) 2013-12-27 2017-01-10 International Business Machines Corporation Combination of TSV and back side wiring in 3D integration
KR102315276B1 (ko) * 2014-10-06 2021-10-20 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US9601410B2 (en) * 2015-01-07 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9653406B2 (en) 2015-04-16 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive traces in semiconductor devices and methods of forming same
KR20170019676A (ko) * 2015-08-12 2017-02-22 삼성전자주식회사 반도체 장치의 제조 방법
US9859213B2 (en) * 2015-12-07 2018-01-02 Dyi-chung Hu Metal via structure
EP3324436B1 (en) 2016-11-21 2020-08-05 IMEC vzw An integrated circuit chip with power delivery network on the backside of the chip
CN112164688B (zh) * 2017-07-21 2023-06-13 联华电子股份有限公司 芯片堆叠结构及管芯堆叠结构的制造方法
US10658287B2 (en) * 2018-05-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a tapered protruding pillar portion
US10903142B2 (en) * 2018-07-31 2021-01-26 Intel Corporation Micro through-silicon via for transistor density scaling
CN110010575B (zh) * 2018-12-25 2021-03-30 浙江集迈科微电子有限公司 一种栓塞互联式的tsv结构及其制作方法
US11217481B2 (en) * 2019-11-08 2022-01-04 International Business Machines Corporation Fully aligned top vias
US20220367554A1 (en) * 2021-05-17 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure with high via density

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200915451A (en) * 2007-06-07 2009-04-01 United Test & Assembly Ct Lt Through silicon via dies and packages
CN101582407A (zh) * 2008-05-14 2009-11-18 台湾积体电路制造股份有限公司 制造半导体衬底叠层的系统、结构和方法

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05211239A (ja) 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
US5426072A (en) 1993-01-21 1995-06-20 Hughes Aircraft Company Process of manufacturing a three dimensional integrated circuit from stacked SOI wafers using a temporary silicon substrate
DE4314907C1 (de) 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5391917A (en) 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US5646067A (en) 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US6882030B2 (en) 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
EP0948808A4 (en) * 1996-10-29 2000-05-10 Trusi Technologies Llc INTEGRATED CIRCUITS AND MANUFACTURING METHODS THEREOF
JPH10163315A (ja) 1996-11-28 1998-06-19 Nec Ic Microcomput Syst Ltd 半導体回路装置
US6037822A (en) 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
KR100281897B1 (ko) 1998-07-21 2001-03-02 윤종용 도전층을 갖는 반도체 장치의 제조방법
US6706189B2 (en) 1998-10-09 2004-03-16 Zenon Environmental Inc. Cyclic aeration system for submerged membrane modules
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP3998373B2 (ja) * 1999-07-01 2007-10-24 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6417087B1 (en) 1999-12-16 2002-07-09 Agere Systems Guardian Corp. Process for forming a dual damascene bond pad structure over active circuitry
JP3626058B2 (ja) 2000-01-25 2005-03-02 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2001291720A (ja) 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
US6444576B1 (en) 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US7053465B2 (en) 2000-11-28 2006-05-30 Texas Instruments Incorporated Semiconductor varactor with reduced parasitic resistance
US6498381B2 (en) 2001-02-22 2002-12-24 Tru-Si Technologies, Inc. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same
US6717254B2 (en) 2001-02-22 2004-04-06 Tru-Si Technologies, Inc. Devices having substrates with opening passing through the substrates and conductors in the openings, and methods of manufacture
US6696360B2 (en) 2001-03-15 2004-02-24 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
US7224063B2 (en) 2001-06-01 2007-05-29 International Business Machines Corporation Dual-damascene metallization interconnection
US6599778B2 (en) 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
WO2003063242A1 (en) 2002-01-16 2003-07-31 Alfred E. Mann Foundation For Scientific Research Space-saving packaging of electronic circuits
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6642081B1 (en) * 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
JP2003318178A (ja) 2002-04-24 2003-11-07 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US7030481B2 (en) 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP4072677B2 (ja) 2003-01-15 2008-04-09 セイコーエプソン株式会社 半導体チップ、半導体ウエハ、半導体装置及びその製造方法、回路基板並びに電子機器
JP2004297019A (ja) * 2003-03-28 2004-10-21 Seiko Epson Corp 半導体装置、回路基板及び電子機器
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6908856B2 (en) 2003-04-03 2005-06-21 Interuniversitair Microelektronica Centrum (Imec) Method for producing electrical through hole interconnects and devices made thereof
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
US6897125B2 (en) 2003-09-17 2005-05-24 Intel Corporation Methods of forming backside connections on a wafer stack
TWI251313B (en) 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7335972B2 (en) 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US6878616B1 (en) 2003-11-21 2005-04-12 International Business Machines Corporation Low-k dielectric material system for IC application
US7049170B2 (en) 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7060601B2 (en) 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
JP4467318B2 (ja) 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法
JP4339152B2 (ja) 2004-03-08 2009-10-07 富士通マイクロエレクトロニクス株式会社 配線構造の形成方法
JP4439976B2 (ja) 2004-03-31 2010-03-24 Necエレクトロニクス株式会社 半導体装置およびその製造方法
JP4327644B2 (ja) 2004-03-31 2009-09-09 Necエレクトロニクス株式会社 半導体装置の製造方法
WO2006019156A1 (ja) 2004-08-20 2006-02-23 Zycube Co., Ltd. 三次元積層構造を持つ半導体装置の製造方法
US7300857B2 (en) * 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7262495B2 (en) 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
KR20060054689A (ko) 2004-11-16 2006-05-23 강준모 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
KR101052366B1 (ko) 2004-11-16 2011-07-28 강준모 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
KR20060054690A (ko) 2004-11-16 2006-05-23 강준모 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
JP2006339584A (ja) 2005-06-06 2006-12-14 Sharp Corp 半導体装置およびその製造方法
US7297574B2 (en) 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7429529B2 (en) 2005-08-05 2008-09-30 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
US7772116B2 (en) 2005-09-01 2010-08-10 Micron Technology, Inc. Methods of forming blind wafer interconnects
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
TWI287273B (en) 2006-01-25 2007-09-21 Advanced Semiconductor Eng Three dimensional package and method of making the same
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7514775B2 (en) 2006-10-09 2009-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked structures and methods of fabricating stacked structures
US7544605B2 (en) * 2006-11-21 2009-06-09 Freescale Semiconductor, Inc. Method of making a contact on a backside of a die
JP4415984B2 (ja) 2006-12-06 2010-02-17 ソニー株式会社 半導体装置の製造方法
US8247322B2 (en) 2007-03-01 2012-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Via/contact and damascene structures and manufacturing methods thereof
US7564115B2 (en) * 2007-05-16 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tapered through-silicon via structure
KR20080101635A (ko) 2007-05-18 2008-11-21 삼성전자주식회사 반도체 패키지, 그 제조 방법, 및 반도체 패키지를 이용한패키지 모듈 및 전자 제품
JP4937842B2 (ja) * 2007-06-06 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
TW200910557A (en) 2007-06-20 2009-03-01 Flipchip Int Llc Under bump metallization structure having a seed layer for electroless nickel deposition
US20090057909A1 (en) 2007-06-20 2009-03-05 Flipchip International, Llc Under bump metallization structure having a seed layer for electroless nickel deposition
TWI351751B (en) 2007-06-22 2011-11-01 Ind Tech Res Inst Self-aligned wafer or chip structure, self-aligned
US8193092B2 (en) 2007-07-31 2012-06-05 Micron Technology, Inc. Semiconductor devices including a through-substrate conductive member with an exposed end and methods of manufacturing such semiconductor devices
KR101387701B1 (ko) 2007-08-01 2014-04-23 삼성전자주식회사 반도체 패키지 및 이의 제조방법
JP5346510B2 (ja) 2007-08-24 2013-11-20 本田技研工業株式会社 貫通配線構造
JP2009147218A (ja) 2007-12-17 2009-07-02 Toshiba Corp 半導体装置とその製造方法
JP5358089B2 (ja) 2007-12-21 2013-12-04 スパンション エルエルシー 半導体装置
US7804119B2 (en) 2008-04-08 2010-09-28 International Business Machines Corporation Device structures with a hyper-abrupt P-N junction, methods of forming a hyper-abrupt P-N junction, and design structures for an integrated circuit
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
JP5324822B2 (ja) 2008-05-26 2013-10-23 ラピスセミコンダクタ株式会社 半導体装置
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US20100013060A1 (en) * 2008-06-22 2010-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a conductive trench in a silicon wafer and silicon wafer comprising such trench
US7968975B2 (en) * 2008-08-08 2011-06-28 International Business Machines Corporation Metal wiring structure for integration with through substrate vias
KR20100021856A (ko) * 2008-08-18 2010-02-26 삼성전자주식회사 관통 전극을 갖는 반도체장치의 형성방법 및 관련된 장치
US7772081B2 (en) 2008-09-17 2010-08-10 Stats Chippac, Ltd. Semiconductor device and method of forming high-frequency circuit structure and method thereof
US7855455B2 (en) 2008-09-26 2010-12-21 International Business Machines Corporation Lock and key through-via method for wafer level 3 D integration and structures produced
US7928534B2 (en) 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
US7935571B2 (en) * 2008-11-25 2011-05-03 Freescale Semiconductor, Inc. Through substrate vias for back-side interconnections on very thin semiconductor wafers
US8513119B2 (en) 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US8736050B2 (en) 2009-09-03 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Front side copper post joint structure for temporary bond in TSV application
US7999320B2 (en) 2008-12-23 2011-08-16 International Business Machines Corporation SOI radio frequency switch with enhanced signal fidelity and electrical isolation
US8264077B2 (en) 2008-12-29 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside metal of redistribution line with silicide layer on through-silicon via of semiconductor chips
US8097964B2 (en) 2008-12-29 2012-01-17 Texas Instruments Incorporated IC having TSV arrays with reduced TSV induced stress
US7910473B2 (en) 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US20100171197A1 (en) 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8399354B2 (en) 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US8158489B2 (en) 2009-06-26 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of TSV backside interconnects by modifying carrier wafers
US8791549B2 (en) 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US8294261B2 (en) 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
US8273616B2 (en) 2010-02-19 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Gated-varactors
US20110227216A1 (en) 2010-03-16 2011-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Under-Bump Metallization Structure for Semiconductor Devices
US8587121B2 (en) 2010-03-24 2013-11-19 International Business Machines Corporation Backside dummy plugs for 3D integration
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8174124B2 (en) 2010-04-08 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy pattern in wafer backside routing
US9293366B2 (en) 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
US8896136B2 (en) 2010-06-30 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark and method of formation
US8796135B2 (en) 2010-07-23 2014-08-05 Tessera, Inc. Microelectronic elements with rear contacts connected with via first or via middle structures
US8273604B2 (en) 2011-02-22 2012-09-25 STAT ChipPAC, Ltd. Semiconductor device and method of forming WLCSP structure using protruded MLP
US8481425B2 (en) 2011-05-16 2013-07-09 United Microelectronics Corp. Method for fabricating through-silicon via structure
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200915451A (en) * 2007-06-07 2009-04-01 United Test & Assembly Ct Lt Through silicon via dies and packages
CN101582407A (zh) * 2008-05-14 2009-11-18 台湾积体电路制造股份有限公司 制造半导体衬底叠层的系统、结构和方法

Also Published As

Publication number Publication date
CN102208393A (zh) 2011-10-05
TWI411084B (zh) 2013-10-01
US8841773B2 (en) 2014-09-23
US8466059B2 (en) 2013-06-18
TW201133756A (en) 2011-10-01
US20130001799A1 (en) 2013-01-03
US20110241217A1 (en) 2011-10-06

Similar Documents

Publication Publication Date Title
CN102208393B (zh) 半导体元件与其形成方法
CN101752336B (zh) 半导体装置及其制造方法
US10163756B2 (en) Isolation structure for stacked dies
US11398405B2 (en) Method and apparatus for back end of line semiconductor device processing
US20230386976A1 (en) Semiconductor Device Having Backside Interconnect Structure on Through Substrate Via
US9455158B2 (en) 3DIC interconnect devices and methods of forming same
US8492878B2 (en) Metal-contamination-free through-substrate via structure
CN101783329B (zh) 半导体元件及其制法
US20100187694A1 (en) Through-Silicon Via Sidewall Isolation Structure
US11315904B2 (en) Semiconductor assembly and method of manufacturing the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant