CN101783329B - 半导体元件及其制法 - Google Patents

半导体元件及其制法 Download PDF

Info

Publication number
CN101783329B
CN101783329B CN2010100025087A CN201010002508A CN101783329B CN 101783329 B CN101783329 B CN 101783329B CN 2010100025087 A CN2010100025087 A CN 2010100025087A CN 201010002508 A CN201010002508 A CN 201010002508A CN 101783329 B CN101783329 B CN 101783329B
Authority
CN
China
Prior art keywords
semiconductor substrate
lining
silicon
dielectric layer
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2010100025087A
Other languages
English (en)
Other versions
CN101783329A (zh
Inventor
陈明发
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101783329A publication Critical patent/CN101783329A/zh
Application granted granted Critical
Publication of CN101783329B publication Critical patent/CN101783329B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05025Disposition the internal layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13009Bump connector integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01327Intermediate phases, i.e. intermetallics compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/059Being combinations of any of the materials from the groups H01L2924/042 - H01L2924/0584, e.g. oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种半导体元件及其制法。半导体基材具有一硅通孔,此硅通孔具有气隙介于硅通孔与半导体基材之间。形成一开口且此开口部分地穿过半导体基材。开口于其内侧形成第一衬层且被导电材料所填充。半导体基材的背侧被薄化以露出第一衬层,其随后被移除并被具有低介电常数或超低介电常数材料的第二介电层取代。本发明使用一低介电常数衬层或超低介电常数衬层,而不需担心其他工艺中对低介电常数材料造成的伤害。

Description

半导体元件及其制法
技术领域
本发明涉及一种集成电路(integrated circuit),且特别涉及一种具有硅通孔(through-silicon via)的半导体元件。
背景技术
自从集成电路(integrated circuit,IC)发明以来,由于各种电子元件(例如:晶体管、二极管、电阻、电容等)的集成密度(integration density)持续改善,致使半导体工业经历了快速的成长。集成密度(integration density)的改善主要来自于最小特征尺寸(minimum feature size)的递减,因而能够将更多元件整合在一指定区域中。
这些集成密度(integration density)的改善基本上是二维(2D)空间的改善,改善这些集成元件在半导体晶片表面上所占据的体积。虽然在光刻(lithography)工艺方面的技术改革已大幅改善二维(2D)集成电路(IC)结构,然而在二维空间内可达成的密度仍有其物理上的极限,而这些极限之一就是制作这些元件所需要的最小尺寸。此外,当越多元件需设置于单一芯片时,则需要越复杂的芯片设计。
为了更进一步增加电路密度,已有研究开始发展三维(3D)集成电路(IC)。在一典型的三维(3D)集成电路(IC)构装工艺中,将两晶粒(die)粘接在一起,并在基材上的每一晶粒(die)与接触垫(contact pad)之间形成电性连接(electrical connection)。例如,试图将两晶粒(die)以彼此顶端对顶端的方式互相接合。随后再将此堆叠好的晶粒(die)接合到一载体基材(carrier substrate)上,并利用焊线(wire bond)接合使每一晶粒(die)上的接触垫(contact pad)与载体基材(carrier substrate)上的接触垫(contact pad)之间形成电性连接。然而,为了形成焊线连接(wire bonding),此种研究需要一面积大于晶粒(die)的载体基材(carrier substrate)。
最近有越来越多的研究着重于硅通孔(through-silicon via,TSVs)。一般而言,通过蚀刻一通过基材的垂直通道,并将导电材料(例如铜)填充于该通道中而形成硅通孔(TSVs)。在将导电材料(例如铜)填充于该通道中之前,沿着该通道的侧壁形成一介电质衬层(liner),该介电质衬层(liner)通常为四乙基硅酸盐(tetra-ethyl ortho-silicate,TEOS)。然而,四乙基硅酸盐(TEOS)的介电常数(dielectric constant)约为4.2,因此造成潜在的大电容。此大电容可能会对电阻电容(RC)电路的效能表现产生负面影响。
因此业界急需要一种形成硅通孔(TSVs)较佳的结构与方法。
发明内容
为减轻、解决或预防上述及其他问题,并且实现技术上的优势,下文特举出本发明的实施例,其提供具有硅通孔(through-silicon via)的半导体元件。
本发明提供一种半导体元件,包括:一具有一电路侧(circuit side)与一相对于该电路侧的背侧(backside)的半导体基材;一硅通孔延伸穿过该半导体基材;以及一第一介电层设置于该硅通孔与该半导体基材之间,其中该第一介电层延伸至该半导体基材的背侧表面的至少一部分上。
本发明另外提供一种半导体元件的制法,包括以下步骤,其中该制法包括:提供一半导体基材,其中该半导体基材具有一第一侧与相对于该第一侧的第二侧;形成一开口从该半导体基材的第一侧延伸到该半导体基材之中;形成一第一衬层(liner)沿着该开口的侧边;形成一导电材料于该开口中的第一衬层之上;薄化该半导体基材的第二侧,因而曝露至少一部分的第一衬层;移除介于该导体材料与该半导体基材之间的至少一部分第一衬层;以及移除之后,形成一第二衬层于该导电材料与该半导体基材之间。
本发明又包括一种半导体元件的制法,包括以下步骤:提供一半导体基材,其中该半导体基材具有一自电路侧(circuit side)延伸且部分穿过该半导体基材的硅通孔,以及一介于该半导体基材与该硅通孔之间的一第一衬层;薄化该半导体基材的背侧,因而曝露至少一部分的第一衬层;移除介于该硅通孔与该半导体基材之间的至少一部分第一衬层,因而形成一位于该半导体基材背侧之上且围绕该硅通孔的开口;以及形成一第二衬层于该开口中。
本发明使用一低介电常数衬层或超低介电常数衬层,而不需担心其他工艺中对低介电常数材料造成的伤害。例如,因为第二衬层是于工艺的后期形成,因此第二衬层在蚀刻工艺(例如干式蚀刻工艺、湿式蚀刻工艺、化学机械研磨等等)中将不会受到伤害。
为让本发明的上述和其他目的、特征、和优点能更明显易懂,下文特举出较佳实施例,并配合所附附图,作详细说明如下。
附图说明
图1~4为一系列剖面图,用以说明本发明的半导体元件的一实施例的工艺中间阶段。
并且,上述附图中的附图标记说明如下:
110~半导体基材
112~电路系统
116~层间介电层(inter-layer dielectric,ILD)
118~接触插塞(contact)
120~金属间介电层(inter-metal dielectric,IMD)
122~接点(contact)
124~硅通孔(through-silicon via)
126~第一衬层(first liner)
128~导线(conductive line)
130~保护层
132~接触插塞(contact)
134~载体基材(carrier substrate)
136~粘着剂(adhesive)
310~气隙(air gap)
410~第二衬层(second liner)
具体实施方式
以下特举出本发明的实施例,并配合所附附图作详细说明。以下实施例的元件和设计为了简化所公开的发明,并非用以限定本发明。
图1到图4显示形成一具有硅通孔(through-silicon via)的晶粒(die)的中间阶段,其中硅通孔(through-silicon via)适用于三维(3D)集成电路(例如:一堆叠晶粒结构(stacked die configuration))或一背侧接合结构(backside bondingconfiguration)。在本发明的各实施例与附图之中,相同的元件用相同的元件参考符号标示。
请参见图1,此图显示一半导体基材110具有电路112形成于其中。半导体基材110可包括,例如掺杂或未掺杂的硅块材(bulk silicon),或一绝缘层上覆半导体(semiconductor-on-insulator,SOI)基材的主动层(active layer)。一般而言,一绝缘层上覆半导体(SOI)基材包括一半导体材料层(例如硅)形成于一绝缘体层上,其中绝缘体层材料可能包括,例如一埋藏氧化层(buried-oxidelayer,BOX)或是一氧化硅层。此绝缘体层通常形成在基材上,一般如硅基材或玻璃基材上,也可使用其他基材,例如多层(multi-layered)基材或梯度(gradient)基材。
形成于半导体基材110上的电路112可为适用于特殊应用的任何类型的电路。在一实施例中,此电路包括形成于基材上的电子元件,且此电子元件上覆盖一或多层介电层。可于介电层之间形成金属层,以传递电子元件之间的电子信号。电子元件也可形成在一或多层介电层中。
举例而言,电路112可包含多种N型金属-氧化物半导体(N-typemetal-oxide semiconductor,NMOS)及/或P型金属-氧化物半导体(P-typemetal-oxide semiconductor,PMOS)元件,例如晶体管、电容、电阻、二极管、光电二极管(photo-diodes)、保险丝等等,上述元件相互连接以进行一或多种功能。此功能可包括存储器结构、处理器结构(processing structures)、感测器、放大器、配电系统(power distribution)、输入/输出电路(input/output circuitry)等等。本领域普通技术人员应可了解,上述实施例仅为进一步解释所公开的发明,并非用以限定本发明。对于一指定的应用,也可使用其他合适的电路。
图1也显示层间介电层(inter-layer dielectric,ILD)116。此层间介电层(ILD)116的组成材料可包括一低介电常数(low-K)材料,例如磷硅玻璃(phosphosilicate glass,PSG)、硼磷硅玻璃(borophosphosilicate glass,BPSG)、氟硅玻璃(fluorinated silicate glass,FSG)、碳氧化硅(SiOxCy)、旋转涂布式玻璃(Spin-On-Glass)、旋转涂布式高分子(Spin-On-Polymers)、碳化硅(siliconcarbon)材料及其化合物、复合材料或上述的组合等等。而此层间介电层(ILD)116的形成方法可使用此技术领域中所熟知的任何合适方法,例如旋转涂布法(spinning)、化学气相沉积法(chemical vapor deposition,CVD)及等离于体增强型化学气相沉积法(plasma-enhanced CVD,PECVD)。须注意的是,层间介电层(ILD)116可包括多层介电层。
接触插塞(contact)118穿透层间介电层(ILD)116而形成,以提供对于电路112的电性接触(electrical contact)。此接触插塞(contact)118的形成,例如,利用光刻(photolithography)技术在层间介电层(ILD)116上沉积并图案化一光致抗蚀剂材料,由此露出一部分的层间介电层(ILD)116以将其转变成接触插塞(contact)118。可利用蚀刻工艺,例如非等向性干式蚀刻工艺(anisotropic dryetch process)在层间介电层(ILD)116中制造开口。此开口较佳使用扩散阻障层(diffusion barrier layer)及/或粘着层作为衬层,并且填充导电材料。此扩散阻障层(diffusion barrier layer)较佳包括一或多层的氮化钽(tantalum nitride,TaN)、钽(tantalum,Ta)、氮化钛(titanium nitride,TiN)、钛(titanium,Ti)、钨化钴(CoW)等等。而导电材料包括铜、钨、铝、银及上述的组合,或其他类似的材料,以形成如图1所显示的接触插塞(contact)118。
一或多层的金属间介电层(inter-metal dielectric,IMD)120及其相关的金属层(图中未显示)形成于层间介电层(ILD)116上。一般而言,利用此一或多层的金属间介电层(IMD)120及其相关的金属层使电路彼此互相连接,以形成外部电性接触(external electrical connection)。形成此金属间介电层(IMD)120的材料较佳为低介电常数(low-K)材料,例如以等离子体增强型化学气相沉积法(PECVD)技术或高密度等离子体化学气相沉积(high-density plasma CVD,HDPCVD)等等方法所形成的氟硅玻璃(FSG),且此金属间介电层(IMD)120可能包括中间蚀刻停止层(intermediate etch stop layers)。在金属间介电层(IMD)的最上层形成接点(contact)122以提供一外部电性连接(external electricalconnection)。
值得注意的是,一或多层的蚀刻停止层(etch stop layers)(图中并未显示)形成于相邻的两介电层之间,例如,层间介电层(ILD)116与金属间介电层(IMD)120之间。一般而言,蚀刻停止层(etch stop layers)于形成通孔(vias)及/或接触插塞(contact)时,提供停止蚀刻工艺的机制。形成此蚀刻停止层(etchstop layers)的材料较佳为具有与相邻的层(例如,位于下方的半导体基材110、位于上方的层间介电层(ILD)116及金属间介电层(IMD)120)不同蚀刻选择性的介电材料。在一实施例中,形成蚀刻停止层(etch stop layers)的材料可包括氮化硅(silicon nitride SiN)、碳氮化硅(silicon nitricarbide,SiCN)、碳氧化硅(silicon oxycarbide,SiCO)、氮化碳(carbon nitride,CN)及上述的组合,或类似的材料,且此蚀刻停止层(etch stop layers)是通过化学气相沉积(CVD)或等离子体增强型化学气相沉积法(PECVD)技术所形成。
图1中也显示出一硅通孔(through-silicon via)124,其通过任意适当方法所形成。举例而言,通过一或多次的蚀刻工艺、研磨、激光工艺等等,形成延伸至半导体基材中110的开口(openings)。此开口较佳于其内侧形成一衬层,例如一第一衬层(first liner)126,此衬层作为隔离层。第一衬层126较佳包括一或多层的四乙基硅酸盐(TEOS),然而也可使用其他材料。如同下文的详细说明,由于在随后的工艺步骤中,将移除一部分的第一衬层126,因此第一衬层126需使用一既容易加工又容易移除,同时对其他结构造成很少伤害或几乎不造成伤害的材料。
可于第一衬层(first liner)126的表面上形成且图案化得到保护层130,例如一聚亚酰胺(polyimide)材料。之后通过例如电镀(electroplating)技术将导电材料(例如铜、钨、铝、银及其组合等等)填充于开口中,以形成硅通孔124。也可使用其他材料,包括导电扩散阻障层(conductive diffusion barrier layer),例如氮化钽(tantalum nitride,TaN)、钽(tantalum,Ta)、氮化钛(titanium nitride,TiN)、钛(titanium,Ti)、钨化钴(CoW),或类似的材料。
接触插塞(contact)132,例如由铜、钨、锡铜合金(CuSn)、锡金合金(AuSn)、金铟合金(InAu)、锡铅合金(PbSn),或类似的材料所形成的金属凸块(metalbumps),与导线(conductive line)128形成电性接触,并且利用粘着剂(adhesive)136与载体基材(carrier substrate)134相粘接。一般而言,在后续的工艺步骤中,此载体基材134提供暂时的机械与结构支撑。在此方法中,将可降低甚或避免对半导体基材110所造成的伤害。载体基材134可包括,例如玻璃、氧化硅、氧化铝或类似的材料。粘着剂136可为任何适合的粘着剂,例如一紫外线(UV)胶,当其曝露于紫外线中将会丧失其粘着性。
值得注意的是,第一衬层126所选用的材料必须使第一衬层126与其周遭材料(例如半导体基材110、硅通孔124及任何相关的层间介电层(ILD)116及金属间介电层(IMD)120及/或蚀刻停止层(etch stop layers)的材料)具有高蚀刻选择性(high-etch selectivity)。如同下文的详细说明,在随后的工艺步骤中将移除第一衬层126,高蚀刻选择性(high-etch selectivity)将使第一衬层126的移除对其他层材料造成很少伤害或几乎不造成伤害。
值得注意的是,硅通孔124在附图中是自金属间介电层(IMD)120的上表面延伸至半导体基材110中,此结构仅为示范之用,因此也可采用其他的排列方式。在另一实施例中,硅通孔124是自层间介电层(ILD)116或半导体基材110的上表面开始延伸。例如,在一实施例中,于形成接触插塞(contact)118之后,通过一或多次的蚀刻工艺、研磨、激光工艺或其他类似工艺,产生延伸至半导体基材中110的开口而形成硅通孔124。上述开口较佳于其内侧形成一当作隔离层的衬层,例如第一衬层(first liner)126,并于开口中填充一如上文所述的导电材料。随后于硅通孔上形成金属间介电层(IMD)120,并且视需要的利用金属层(metallization layers)对硅通孔产生外部电性连接。
图示中的范例也显示,用以形成硅通孔124的导电材料延伸至介电层(例如金属间介电层(IMD)120)上表面。在本实施例中,硅通孔124与导线128可以由单一导电层形成,且此导线128使接点(contact)122与硅通孔124相互连接。在其他实施例中,硅通孔124可不与半导体基材110上的电路相互连接。在本实施例中,硅通孔124提供一电性连接,使形成于另一半导体基材(图中未显示)上的电路与基材背侧(backside)或基材电路侧(circuit side)相互连接。
依据本发明的一实施例,图2显示一薄化工艺(thinning process),其实施在半导体基材110背侧(backside)上,以曝露第一衬层(first liner)126。此薄化工艺可通过蚀刻工艺及/或平坦化工艺,例如机械研磨(mechanical grinding)工艺或是化学机械研磨(chemical mechanical polishing,CMP)工艺。举例而言,初始阶段可实施平坦化工艺,例如机械研磨或化学机械研磨(CMP)工艺,以曝露第一衬层126,随后可实施一或多次对于第一衬层126与半导体基材110具有高蚀刻速率选择性(etch-rate selectivity)的湿式蚀刻工艺,以留下从半导体基材110背侧(backside)延伸突出的硅通孔124,如图2所示。此蚀刻工艺可为,例如,使用溴化氢/氧气(HBr/O2)等离子体、溴化氢/氯气/氧气(HBr/Cl2/O2)等离子体、六氟化硫/氯气(SF6/Cl2)等离子体、六氟化硫(SF6)等离子体等等的干式蚀刻工艺。值得注意的是,在其他实施例中,硅通孔124可能不从半导体基材110背侧(backside)延伸出来。
依据本发明的一实施例,图3显示一蚀刻工艺,其作用在于移除至少一部分的第一衬层126。实施一或多次对于第一衬层126与其周遭材料(例如半导体基材110、层间介电层(ILD)116、金属间介电层(IMD)120的材料、硅通孔124的导电材料及/或蚀刻停止层(etch stop layers)的材料)具有高蚀刻速率选择性(etch-rate selectivity)的湿式蚀刻工艺,结果可于硅通孔124周围形成一气隙(air gap)310。
在一实施例中,第一衬层126由四乙基硅酸盐(tetra-ethyl ortho-silicate,TEOS)所形成,可通过,例如,使用二氟化二卤(X2F2)等离子体的干式蚀刻工艺移除此第一衬层(first liner)126,也可通过湿式蚀刻工艺将其移除。
在一实施例中,图3也显示气隙(air gap)310延伸至硅通孔124的整个深度,并且延伸至金属间介电层(IMD)120的表面上。在此实施例中,气隙(airgap)310延伸至金属间介电层(IMD)120(或是层间介电层(ILD)116)的上表面。其他表面,例如由与第一衬层126具有高蚀刻速率选择性的材料所形成的接点(contact)122,可能导致蚀刻工艺的停止。此蚀刻工艺也可为时间控制式蚀刻(timed etch),通过控制蚀刻时间以控制第一衬层(first liner)126移除的量。
依据本发明的一实施例,图4显示一第二衬层(second liner)410形成于半导体基材110(或是可能形成于半导体基材110表面上的原生氧化层(nativeoxide))背侧(backside)上,并且介于硅通孔124的导电材料与其周遭材料之间。形成此第二衬层(second liner)410的材料较佳为低介电常数(low-K)材料或超低介电常数(extra low-K,ELK)材料。此第二衬层(second liner)410也可使用聚亚酰胺(polyimide)材料。一般而言,低介电常数(low-K)材料具有一介电常数低于约3.5,而超低介电常数(extra low-K,ELK)材料则具有一介电常数低于约2.8。本领域普通技术人员应了解使用一低介电常数材料(例如低介电常数(loW-K)材料或超低介电常数(extra low-K,ELK)材料)与四乙基硅酸盐(tetra-ethyl ortho-silicate,TEOS)相比,可降低介电常数,进而可降低电容。
适合的低介电常数(low-K)材料包括氟硅玻璃(fluorinated silicate glass,FSG)、含碳或尚含有氮、氢、氧及上述的组合的介电材料。可通过,例如旋转涂布工艺(spin coating process)形成此第二衬层410。形成第二衬层410之后,可实施固化步骤,例如可利用紫外光(UV)进行紫外光固化步骤,固化低介电常数(low-K)材料或超低介电常数(extra low-K,ELK)材料,也可使用其他固化方法。
如图4所示,形成第二衬层410的材料延伸至半导体基材110背侧(backside)上,从而提供介于硅通孔124延伸突出部分与半导体基材110之间的绝缘层。值得注意的是,在一实施例中,为了使硅通孔124从第二衬层(second liner)410之上表面延伸突出,可能需要进行另一蚀刻工艺。特别是当第二衬层410通过形成顺应层(conformal layer)的方法形成时,此第二衬层410可能延伸至硅通孔124突出部分之上。在本实施例中,可沉积并图案化掩模(mask),以曝露出第二衬层410位于硅通孔124突出部分之上,并且实施蚀刻工艺以移除第二衬层410曝露的部分,因而曝露出硅通孔124。若第二衬层410通过自平坦化(self-planarizing)工艺(例如旋涂工艺(spin-onprocess))形成,则不需实施上述工艺。
之后,为完成适用于特定领域的半导体元件,也可实施其他后段工艺(back-end-of-line,BEOL)。例如,可移除载体基材(carrier substrate)134,可于基材电路侧(circuit side)及背侧(backside)形成凸块底层金属(under-bumpmetallization)及接触插塞(contact),可形成封装材料(encapsulant),可实施切割(singulation)工艺以分割出单一晶粒(die),可实施晶片级(wafer-level)或晶粒级(die-level)堆叠等等。值得注意的是,本发明所列举的实施例可应用于多种不同情况。例如,本发明所列举的实施例可应用于晶粒对晶粒(die-to-die)接合结构(bonding configuration)、晶粒对晶片(die-to-wafer)接合结构(bondingconfiguration)或晶片对晶片(wafer-to-wafer)接合结构(bonding configuration)。
本领域普通技术人员应了解上述工艺使用一低介电常数(low-K)衬层(liner)或超低介电常数(extra low-K,ELK)衬层,而不需担心其他工艺中对低介电常数(low-K)材料造成的伤害。例如,因为第二衬层(second liner)是于工艺的后期形成,因此第二衬层在蚀刻工艺(例如干式蚀刻工艺、湿式蚀刻工艺、化学机械研磨(CMP)等等)中将不会受到伤害。
虽然本发明已以多个较佳实施例公开如上,然而其并非用以限定本发明,任何本领域普通技术人员,在不脱离本发明的精神和范围内,当可作任意的更动与润饰,因此本发明的保护范围当视随附的权利要求所界定的范围为准。

Claims (13)

1.一种半导体元件,包括:
一具有一电路侧与一相对于该电路侧的背侧的半导体基材;
一硅通孔延伸穿过该半导体基材;以及
一第一介电层设置于该硅通孔与该半导体基材之间,其中该第一介电层延伸至该半导体基材的背侧表面的至少一部分上,
该硅通孔的一部分从该第一介电层延伸突出,
该第一介电层延伸至该半导体基材电路侧的一第二介电层之上,电路位于所述第二介电层下方的层间介电层中。
2.如权利要求1所述的半导体元件,其中该第一介电层的介电常数低于3.5。
3.如权利要求1所述的半导体元件,其中该硅通孔的一部分从该半导体基材的背侧延伸突出。
4.一种半导体元件的制法,包括以下步骤:
提供一半导体基材,其中该半导体基材具有一第一侧与相对于该第一侧的第二侧;
形成一开口从该半导体基材的第一侧延伸到该半导体基材之中;
形成一第一衬层沿着该开口的侧壁;
形成一导电材料于该开口中的第一衬层之上;
薄化该半导体基材的第二侧,因而曝露至少一部分的第一衬层;
移除介于该导体材料与该半导体基材之间的至少一部分第一衬层;以及
移除之后,形成一第二衬层于该导电材料与该半导体基材之间。
5.如权利要求4所述的半导体元件的制法,其中该第一衬层包括一介电常数高于3.5的材料,该第二衬层包括一介电常数低于3.5的材料。
6.如权利要求4所述的半导体元件的制法,其中该第二衬层包括聚亚酰胺。
7.如权利要求4所述的半导体元件的制法,其中该薄化该半导体基材的第二侧的步骤包括蚀刻该半导体基材的第二侧,使得一部分的导电材料从该半导体基材的第二侧延伸突出。
8.如权利要求4所述的半导体元件的制法,其中该第二衬层延伸至该半导体基材第一侧的一介电层上。
9.如权利要求8所述的半导体元件的制法,其中该移除步骤包括移除至少一部分位于该半导体基材第一侧的该介电层上的第一衬层。
10.一种半导体元件的制法,包括以下步骤:
提供一半导体基材,其中该半导体基材具有一自电路侧延伸且部分穿过该半导体基材的硅通孔,以及一介于该半导体基材与该硅通孔之间的一第一衬层;
薄化该半导体基材的背侧,因而曝露至少一部分的第一衬层;
移除介于该硅通孔与该半导体基材之间的至少一部分第一衬层,因而形成一位于该半导体基材背侧之上且围绕该硅通孔的开口;以及
形成一第二衬层于该开口中。
11.如权利要求10所述的半导体元件的制法,其中该第二衬层延伸至该半导体基材背侧的一部分上。
12.如权利要求10所述的半导体元件的制法,其中该第二衬层包括一介电常数低于该第一衬层的介电材料。
13.如权利要求10所述的半导体元件的制法,其中该第二衬层延伸至该半导体基材电路侧的一部分上。
CN2010100025087A 2009-01-13 2010-01-08 半导体元件及其制法 Active CN101783329B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14433609P 2009-01-13 2009-01-13
US61/144,336 2009-01-13
US12/617,259 2009-11-12
US12/617,259 US8399354B2 (en) 2009-01-13 2009-11-12 Through-silicon via with low-K dielectric liner

Publications (2)

Publication Number Publication Date
CN101783329A CN101783329A (zh) 2010-07-21
CN101783329B true CN101783329B (zh) 2013-08-14

Family

ID=42318467

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010100025087A Active CN101783329B (zh) 2009-01-13 2010-01-08 半导体元件及其制法

Country Status (5)

Country Link
US (4) US8399354B2 (zh)
JP (1) JP5345077B2 (zh)
KR (1) KR101074762B1 (zh)
CN (1) CN101783329B (zh)
TW (1) TWI402957B (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8513119B2 (en) 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US7910473B2 (en) * 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US20100171197A1 (en) 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8399354B2 (en) 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US8501587B2 (en) * 2009-01-13 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated chips and methods of fabrication thereof
US8791549B2 (en) 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US8466059B2 (en) * 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8519542B2 (en) 2010-08-03 2013-08-27 Xilinx, Inc. Air through-silicon via structure
KR20120067525A (ko) * 2010-12-16 2012-06-26 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9059262B2 (en) 2011-02-24 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including conductive structures through a substrate and methods of making the same
KR101739939B1 (ko) 2011-03-16 2017-05-26 삼성전자주식회사 반도체 장치의 제조 방법
KR101828063B1 (ko) 2011-05-17 2018-02-09 삼성전자주식회사 반도체 장치 및 그 형성방법
KR101767654B1 (ko) 2011-05-19 2017-08-14 삼성전자주식회사 에어 갭 절연 구조를 갖는 관통전극을 구비한 반도체 소자 및 그 제조방법
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
US8802457B2 (en) * 2011-08-08 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Backside surface treatment of semiconductor chips
KR101828490B1 (ko) 2011-08-30 2018-02-12 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
KR101840846B1 (ko) 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
TW201338092A (zh) * 2012-03-08 2013-09-16 Rexchip Electronics Corp 半導體之氣隙的形成方法
US8901697B2 (en) * 2012-03-16 2014-12-02 Analog Devices, Inc. Integrated circuit having a semiconducting via; an integrated circuit including a sensor, such as a photosensitive device, and a method of making said integrated circuit
CN103378028B (zh) * 2012-04-13 2016-01-13 南亚科技股份有限公司 具有应力保护结构的半导体结构与其形成方法
JP6035520B2 (ja) * 2012-04-26 2016-11-30 パナソニックIpマネジメント株式会社 半導体装置およびその製造方法
US8963336B2 (en) 2012-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
JP2014107304A (ja) 2012-11-22 2014-06-09 Renesas Electronics Corp 半導体装置およびその製造方法
US8802504B1 (en) * 2013-03-14 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US9245824B2 (en) 2013-04-18 2016-01-26 Globalfoundries Inc. Through-vias for wiring layers of semiconductor devices
US10504821B2 (en) * 2016-01-29 2019-12-10 United Microelectronics Corp. Through-silicon via structure
US10490483B2 (en) * 2016-03-07 2019-11-26 Micron Technology, Inc. Low capacitance through substrate via structures
US10319680B1 (en) * 2018-03-01 2019-06-11 Sandisk Technologies Llc Metal contact via structure surrounded by an air gap and method of making thereof
JP2019204894A (ja) 2018-05-24 2019-11-28 東芝メモリ株式会社 半導体装置の製造方法および半導体装置
US11355435B2 (en) * 2020-04-24 2022-06-07 Nanya Technology Corporation Semiconductor device with air gaps
DE102021100529A1 (de) * 2020-08-13 2022-02-17 Taiwan Semiconductor Manufacturing Co., Ltd. Tsv-struktur und verfahren zum bilden davon
CN115565934A (zh) * 2021-07-01 2023-01-03 长鑫存储技术有限公司 一种半导体器件及其制作方法
US11728288B2 (en) * 2021-08-27 2023-08-15 Taiwan Semiconductor Manufacturing Company Limited Semiconductor die including guard ring structure and three-dimensional device structure including the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1191384A (zh) * 1996-10-07 1998-08-26 摩托罗拉公司 一种制造低介电常数中间层的集成电路结构的方法
US7443420B2 (en) * 2003-01-07 2008-10-28 Canon Kabushiki Kaisha Printing system including a printing apparatus for printing image data transmitted from an image pickup apparatus identified by an approved ID information
CN101308834A (zh) * 2007-05-16 2008-11-19 台湾积体电路制造股份有限公司 集成电路结构
CN101330025A (zh) * 2007-06-20 2008-12-24 海力士半导体有限公司 用于封装的半导体芯片的和半导体封装的制造方法

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US167534A (en) * 1875-09-07 Improvement in machines for cutting ovals
US243047A (en) * 1881-06-14 Printing-machine
US222054A (en) * 1879-11-25 Improvement in clothes-pounders
US28105A (en) * 1860-05-01 Peters
US69327A (en) * 1867-10-01 Improved adjustable frame for stretching hides
JPH05211239A (ja) * 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
DE4314907C1 (de) * 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5391917A (en) * 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US6882030B2 (en) * 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
EP2270845A3 (en) * 1996-10-29 2013-04-03 Invensas Corporation Integrated circuits and methods for their fabrication
US6037822A (en) * 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) * 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
JP3532788B2 (ja) * 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6322903B1 (en) * 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
JP3778256B2 (ja) 2000-02-28 2006-05-24 セイコーエプソン株式会社 半導体装置及びその製造方法、回路基板並びに電子機器
US6444576B1 (en) * 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6599778B2 (en) * 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
WO2003063242A1 (en) * 2002-01-16 2003-07-31 Alfred E. Mann Foundation For Scientific Research Space-saving packaging of electronic circuits
JP2003289073A (ja) * 2002-01-22 2003-10-10 Canon Inc 半導体装置および半導体装置の製造方法
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6800930B2 (en) * 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US7030481B2 (en) * 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US6841883B1 (en) * 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6924551B2 (en) * 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US7111149B2 (en) * 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
TWI251313B (en) * 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7335972B2 (en) * 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US7091124B2 (en) * 2003-11-13 2006-08-15 Micron Technology, Inc. Methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US7049170B2 (en) * 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
JP4467318B2 (ja) * 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法
US7262495B2 (en) * 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US8294279B2 (en) 2005-01-25 2012-10-23 Megica Corporation Chip package with dam bar restricting flow of underfill
US7371676B2 (en) 2005-04-08 2008-05-13 Micron Technology, Inc. Method for fabricating semiconductor components with through wire interconnects
US7297574B2 (en) * 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
JP4698296B2 (ja) 2005-06-17 2011-06-08 新光電気工業株式会社 貫通電極を有する半導体装置の製造方法
JP4250154B2 (ja) * 2005-06-30 2009-04-08 新光電気工業株式会社 半導体チップ及びその製造方法
KR100621438B1 (ko) * 2005-08-31 2006-09-08 삼성전자주식회사 감광성 폴리머를 이용한 적층 칩 패키지 및 그의 제조 방법
US20070069327A1 (en) 2005-09-29 2007-03-29 Infineon Technologies Ag Method for manufacturing an integrated semiconductor device
JP5222459B2 (ja) * 2005-10-18 2013-06-26 新光電気工業株式会社 半導体チップの製造方法、マルチチップパッケージ
US7626269B2 (en) * 2006-07-06 2009-12-01 Micron Technology, Inc. Semiconductor constructions and assemblies, and electronic systems
JP5103854B2 (ja) * 2006-10-02 2012-12-19 セイコーエプソン株式会社 半導体装置、半導体装置の製造方法、回路基板および電子機器
US20080136038A1 (en) * 2006-12-06 2008-06-12 Sergey Savastiouk Integrated circuits with conductive features in through holes passing through other conductive features and through a semiconductor substrate
US7531443B2 (en) * 2006-12-08 2009-05-12 Micron Technology, Inc. Method and system for fabricating semiconductor components with through interconnects and back side redistribution conductors
JP2008153352A (ja) * 2006-12-15 2008-07-03 Seiko Epson Corp 半導体装置とその製造方法、および電子機器
JP4961617B2 (ja) * 2007-10-01 2012-06-27 新光電気工業株式会社 配線基板とその製造方法及び半導体装置
US20090127667A1 (en) 2007-11-21 2009-05-21 Powertech Technology Inc. Semiconductor chip device having through-silicon-via (TSV) and its fabrication method
US8049310B2 (en) 2008-04-01 2011-11-01 Qimonda Ag Semiconductor device with an interconnect element and method for manufacture
WO2010035379A1 (ja) * 2008-09-26 2010-04-01 パナソニック株式会社 半導体装置及びその製造方法
US8513119B2 (en) * 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US7910473B2 (en) 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US20100171197A1 (en) * 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8399354B2 (en) 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1191384A (zh) * 1996-10-07 1998-08-26 摩托罗拉公司 一种制造低介电常数中间层的集成电路结构的方法
US7443420B2 (en) * 2003-01-07 2008-10-28 Canon Kabushiki Kaisha Printing system including a printing apparatus for printing image data transmitted from an image pickup apparatus identified by an approved ID information
CN101308834A (zh) * 2007-05-16 2008-11-19 台湾积体电路制造股份有限公司 集成电路结构
CN101330025A (zh) * 2007-06-20 2008-12-24 海力士半导体有限公司 用于封装的半导体芯片的和半导体封装的制造方法

Also Published As

Publication number Publication date
US20200335428A1 (en) 2020-10-22
US11600551B2 (en) 2023-03-07
US9064940B2 (en) 2015-06-23
US20130119521A1 (en) 2013-05-16
KR20100083718A (ko) 2010-07-22
KR101074762B1 (ko) 2011-10-19
TWI402957B (zh) 2013-07-21
US10707149B2 (en) 2020-07-07
US20100176494A1 (en) 2010-07-15
US20150287664A1 (en) 2015-10-08
TW201027704A (en) 2010-07-16
US8399354B2 (en) 2013-03-19
JP2010166052A (ja) 2010-07-29
JP5345077B2 (ja) 2013-11-20
CN101783329A (zh) 2010-07-21

Similar Documents

Publication Publication Date Title
CN101783329B (zh) 半导体元件及其制法
CN101771018B (zh) 具有气隙的穿透硅通孔
US8053277B2 (en) Three-dimensional integrated circuits with protection layers
US9312225B2 (en) Bump structure for stacked dies
US8841773B2 (en) Multi-layer interconnect structure for stacked dies
US10163756B2 (en) Isolation structure for stacked dies
CN101789417B (zh) 硅通孔侧壁隔离结构
JP2014517547A (ja) 集積回路構造、集積回路、および堅牢なtsv構造を形成する方法
US20150035159A1 (en) Semiconductor device having backside interconnect structure on through substrate via and method of forming the same
US8890293B2 (en) Guard ring for through vias
US20240096830A1 (en) Adding Sealing Material to Wafer edge for Wafer Bonding
TW202335114A (zh) 接合主動晶粒及虛設晶粒的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant