CN101771018B - 具有气隙的穿透硅通孔 - Google Patents

具有气隙的穿透硅通孔 Download PDF

Info

Publication number
CN101771018B
CN101771018B CN2009101435283A CN200910143528A CN101771018B CN 101771018 B CN101771018 B CN 101771018B CN 2009101435283 A CN2009101435283 A CN 2009101435283A CN 200910143528 A CN200910143528 A CN 200910143528A CN 101771018 B CN101771018 B CN 101771018B
Authority
CN
China
Prior art keywords
semiconductor substrate
silicon
liner
dielectric layer
air gap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2009101435283A
Other languages
English (en)
Other versions
CN101771018A (zh
Inventor
陈明发
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101771018A publication Critical patent/CN101771018A/zh
Application granted granted Critical
Publication of CN101771018B publication Critical patent/CN101771018B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05573Single external layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13009Bump connector integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01327Intermediate phases, i.e. intermetallics compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供一种具有穿透硅通孔的半导体衬底,其中气隙介于穿透硅通孔和半导体衬底之间。形成开口,其部分地穿过该半导体衬底。该开口首先用衬垫衬里,然后用导电材料填充该开口。减薄半导体衬底的背面以暴露该衬垫,该衬垫随后被移除以形成围绕穿透硅通孔的导电材料的气隙。在半导体衬底的背面形成电介质层,以密封该气隙。

Description

具有气隙的穿透硅通孔
技术领域
本发明通常涉及集成电路,更具体地,涉及具有穿透硅通孔的半导体器件。
发明背景
自从发明了集成电路(IC),由于各种电子部件(即晶体管、二极管、电阻、电容等)的集成密度的持续提高,所以半导体工业已经经历了连续地快速增长。在极大程度上,集成密度的这种提高来自最小特征尺寸的不断减小,使得允许更多的部件集成到给定的芯片区域内。
这种集成度提高本质上基本是二维(2D)的,因为被集成部件占用的体积基本上在半导体晶片的表面上。虽然光刻的显著提高已经使得2D IC构成有显著的改进,但是存在能够在二维中实现的密度的物理限制。其中一个限制是制成这些部件需要的最小尺寸。而且,当在同一个芯片中放置更多的器件时,需要更复杂的设计。
在试图进一步增加电路密度时,已研究了三维(3D)IC。在3D IC的典型形成工艺中,两个管芯键合在一起且在每个管芯和衬底上的接触焊盘之间形成电连接。例如,一种尝试涉及在两个管芯的顶部彼此键合。堆叠的管芯则被键合到载体衬底且引线键合电耦合每个管芯上的接触焊盘与载体衬底上的接触焊盘。然而,这种尝试需要比用于引线键合的管芯大的载体衬底。
最近的尝试集中在穿透硅通孔(TSV)上。通常,通过穿过衬底来蚀刻垂直通孔并用导电材料例如铜填充该通孔来形成TSV。在用导电材料填充通孔之前沿着通孔的侧壁形成电介质衬垫,一般是四乙基原硅酸盐(TEOS)。然而,TEOS的介电常数约为4.2,由此产生了潜在的大电容。该大电容反之会不利地影响电阻-电容(RC)电路的性能。
于是,需要形成TSV结构的更好结构和方法。
发明内容
通过为半导体器件提供穿透硅通孔的本发明的实施例,总地来说简化、解决或避开了这些和其它问题,并且通常实现了技术优势。
根据本发明的实施例,提供一种半导体器件。该半导体器件包括具有通过其延伸的穿透硅通孔的半导体衬底。气隙介于穿透硅通孔和该半导体衬底之间。
根据本发明的另一个实施例,提供一种形成半导体器件的方法。提供具有第一侧和与第一侧相对的第二侧的半导体衬底。开口形成在半导体器件中,并且沿着开口的侧壁形成第一电介质层。其后,在第一电介质层上方的开口中形成导电材料。使半导体衬底的第二侧变薄,以暴露第一电介质层,然后其被移除。在移除第一电介质层之后,在半导体衬底的第二侧上形成第二电介质层,以在开口中密封气隙。
根据本发明的再一个实施例,提供形成半导体器件的另一种方法。该方法包括提供具有穿透硅通孔的半导体衬底,穿透硅通孔从电路侧部分地穿过半导体衬底延伸,其中提供介于所述穿透硅通孔和半导体衬底之间的衬垫。使所述半导体衬底的背面变薄,以便暴露衬垫,并且至少暴露一部分所述衬垫。至少一部分衬垫介于所述穿透硅通孔和半导体衬底之间,围绕着所述穿透硅通孔在半导体衬底的背面上形成开口。在开口上方形成电介质层,使密封的气隙介于穿透硅通孔和半导体衬底之间。
附图说明
为了更全面地理解本发明及其优点,现在结合附图参考下面的描述,其中:
图1至5分别示出了形成根据本发明的实施例可以使用的半导体器件的各个中间阶段。
具体实施方式
下面详细论述现有优选实施例的制作和使用。然而,应该意识到,本发明提供了许多可应用的发明概念,这些概念可以在广泛不同的具体上下文中实施。论述的具体实施例仅是说明制造和使用本发明的具体方式,并不限制本发明的范围。
在图1-4中示出了形成管芯的方法的中间阶段,该管芯具有适用于三维集成电路(例如,堆叠管芯结构)或背面键合结构的穿透硅通孔。贯穿本发明的不同图和说明性实施例,相同的附图标记用来指示相同的元件。
首先参考图1,示出了半导体衬底110,具有形成在其上的电子电路112。半导体衬底110可以包括例如掺杂或不掺杂的体硅或绝缘体上半导体(SOI)衬底的有源层。通常,SOI衬底包括形成在绝缘体层上的一层半导体材料,例如硅。该绝缘体层可以是例如掩埋氧化物(BOX)层或氧化硅层。该绝缘体层提供在衬底上,典型地为硅或玻璃衬底。还可以使用其它衬底,例如多层的或梯度衬底。
形成在半导体衬底110上的电子电路112可以是适合具体应用的任何类型的电路。在实施例中,该电路包括形成在该衬底上的电子器件,具有一个或多个覆盖电子器件的电介质层。金属层可以形成在电介质层之间,以通路电子器件之间的电子信号。电子器件还可以形成在一个或多个电介质层中。
例如,电子电路112可以包括不同的N型金属氧化物半导体(NMOS)和/或P型金属氧化物半导体(PMOS)器件,如互连以执行一个或多个功能的晶体管、电容器、电阻器、二极管、光电二极管、保险丝等。功能可以包括存储器结构、处理器结构、传感器、放大器、功率分配、输入/输出电路等。本领域的普通技术人员将意识到,提供上述实例仅是为了进一步说明本发明的应用,并不意味着以任何方式限制本发明。对于特定的应用,可以使用采用其它电路。
图1中还示出了层间电介质(ILD)层116。该ILD层116,例如,可以通过任何本领域已知合适的方法,如旋涂、化学汽相沉积(CVD)和等离子体增强CVD(PECVD),由低-K电介质材料形成,例如磷硅酸盐玻璃(PSG)、硼磷硅酸盐玻璃(BPSG)、氟化硅酸盐玻璃(FSG)、SiOxCy、旋涂玻璃、旋涂聚合体、碳化硅材料、它们的化合物、它们的合成物、它们的组合等。还应该注意,ILD层116可以包括多个电介质层。
穿过ILD层116形成接触118,以提供对电子电路112的电气接触。例如,可以通过利用光刻技术沉积和图案化ILD层116上的光致抗蚀剂材料,以暴露将要成为接触118的部分ILD层116,来形成接触118。蚀刻工艺,如各向异性干蚀刻工艺,可以用来在ILD层116中创建开口。该开口优选用扩散阻挡层和/或粘附层(未示出)衬垫,并用导电材料填充。优选,扩散阻挡层包括一层或多层TaN、Ta、TiN、Ti、CoW等,并且导电材料包括铜、钨、铝、银及其它们的组合等,由此形成如图1所示的接触118。
在ILD层116上形成一个或多个金属间电介质(IMD)层120和相关的金属化层。通常,一个或多个IMD层120和相关金属化层用于电子电路彼此互连,以提供外部电气连接。该IMD层120优选通过PECVD技术或高密度等离子体化学汽相沉积(HDPCVD)等,由低K电介质材料如氟化硅酸盐玻璃(FSG)形成,并且可以包括中间的蚀刻停止层。在最上面的IMD层中提供接触122,以提供外部电气连接。
还应该注意,一个或多个蚀刻停止层(未示出)可以定位在相邻的电介质层之间,例如,ILD层116和IMD层120之间。通常,蚀刻停止层提供一种机理以在形成通孔和/或接触时停止蚀刻工艺。该蚀刻停止层优选由具有与相邻层不同的蚀刻选择性的电介质材料形成,相邻层,例如下面的半导体衬底110、上覆盖ILD层116和上覆盖IMD层120。在实施例中,蚀刻停止层可以通过CVD或PECVD技术,由SiN、SiCN、SiCO、CN、它们的组合等形成。
在图1中还示出了穿透硅通孔124。穿透硅通孔124可以由任何适当的方法形成。例如,可以通过,例如,一个或多个蚀刻工艺、研磨、激光技术等形成开口,延伸到半导体衬底110中。开口优选用衬垫衬里,例如衬垫126,其用作隔离层。优选,衬垫126包括一层或多层TEOS,但是也可以使用其它材料。如将在下文中更详细描述的,在随后的处理步骤期间将移除部分衬垫126,因此,将使用容易工作并且在移除时对其它结构造成很少或没有损害的材料。
在衬垫126的表面上可以形成和图案化保护层130,例如聚酰亚胺材料。其后,可以用导电材料,例如铜、钨、铝、银和它们的组合等来填充该开口,由此形成穿透硅通孔124。还可以使用包括导电扩散阻挡层的其它材料,例如TaN、Ta、TiN、Ti、CoW等。
与导电线128电气接触形成接触132,例如由Cu、W、CuSn、AuSn、InAu、PbSn等形成的金属凸块,并利用粘合剂136粘附载体衬底134。通常,载体衬底134在随后的步骤期间提供临时的机械性和结构性的支撑。通过这种方式,减小或防止了对半导体衬底110的损害。该载体衬底134可以包括,例如,玻璃、氧化硅、氧化铝等。粘合剂136可以是任何合适的粘合剂,例如紫外线(UV)胶,当其暴露给UV光时,会丧失其粘附性质。
然而,应该注意,应该为衬垫126所选择的材料,应当被选择为在用于形成衬垫126的材料和周围的材料之间存在高蚀刻选择性,周围的材料例如半导体衬底110、穿透硅通孔124和任意相关的ILD层116、IMD层120和/或蚀刻停止层的材料。如将在下文中更详细论述的,衬垫126在随后的处理步骤中被移除,同样,高蚀刻率选择性使得移除衬垫126对周围的层损害很少或没有损害。
还应该注意,穿透硅通孔124示出为从IMD层120的顶面延伸进入半导体衬底110,仅是为了说明方便,且可以利用其它的布置。在另一个实施例中,穿透硅通孔124可以从ILD层116的顶表面或半导体衬底110延伸。例如,在实施例中,在通过例如一个或多个蚀刻工艺、研磨、激光技术等形成接触118之后,通过创建延伸到半导体衬底110中的开口,形成穿透硅通孔124。开口也优选用衬垫衬里,例如衬垫126,其用作隔离层,并用如上所述的导电材料填充。然后可以在该穿透硅通孔上方形成IMD层120,最佳地,可以利用金属化层建立对穿透硅通孔的外部电气连接。
为了说明方便,穿透硅通孔124还示出为在顶电介质表面例如IMD层120上延伸。在该实施例中,穿透硅通孔124可以由具有导电线128的单个导电层形成,导电线128互连穿透硅通孔124与接触122。在其它实施例中,穿透硅通孔124可以不与形成在半导体衬底110上的电子电路互连。在该实施例中,穿透硅通孔提供对形成在另一个衬底(未示出)上的电子电路的电气连接,另一个衬底耦合在衬底的背面或衬底的电路侧。
图2示出了根据本发明的实施例在半导体衬底110的背面进行的用于暴露衬垫126的减薄工艺。该减薄工艺可以利用蚀刻工艺和/或平整化工艺进行,例如机械研磨工艺或化学机械抛光(CMP)工艺。例如,开始可以进行平面化工艺,例如研磨或CMP,以初步暴露衬垫126。其后,可以进行在衬垫126和半导体衬底110的材料之间具有高蚀刻率选择性的一个或多个湿蚀刻工艺,由此如图2所示,使穿透硅通孔124从半导体衬底110的背面突出。该蚀刻工艺例如可以是利用HBr/O2、HBr/Cl2/O2、SF6/CL2、SF6等离子体等的干法蚀刻工艺。然而,应该注意,在其它实施例中,穿透硅通孔124可以不从半导体衬底110的背面突出。
图3示出了根据本发明的实施例至少移除一部分衬垫126的蚀刻工艺。可以进行在衬底126的材料和周围材料之间具有高蚀刻率选择性的一个或多个湿蚀刻工艺,周围的材料,例如,半导体衬底110的材料、ILD层116的材料、IMD层120的材料、穿透硅通孔124的导电材料,和/或,如果存在,蚀刻停止层的材料。结果,形成了围绕穿透硅通孔124的气隙310。本领域的普通技术人员将意识到,空气的介电常数(大约是1.0)小于衬垫126的介电常数,例如小于TEOS的介电常数。因为介电常数低,所以电容被降低。在由TEOS形成衬垫126的实施例中,可以通过蚀刻,例如,利用XeF2干蚀刻,移除衬垫126。
图3还示出了气隙310延伸为穿透硅通孔的整个深度并且在IMD层120的表面上延伸的实施例。在本实施例中,气隙310连续在IMD层120(或ILD层116)的上表面上。由具有比衬垫126的材料高的蚀刻选择性的材料形成的其它表面,例如接触122,会使蚀刻工艺停止。该蚀刻工艺还可以定时蚀刻,以控制要被移除的衬垫126的量。
图4示出了根据本发明的实施例形成在半导体衬底110上方的隔离膜410(或可以形成在半导体衬底110的表面上的原生氧化物)。在优选实施例中,隔离膜410是电介质材料,例如SiN、氧化物、SiC、SiON、聚合体等,并且可以由例如旋涂、印刷、CVD工艺等形成。隔离膜410优选形成具有沿着穿透硅通孔124的导电材料的边足够覆盖气隙310的开口的厚度。
应该注意,图4示出了共形层,仅是以说明为目的,并且可以使用其它工艺。例如,可以使用创建更平整表面的旋涂工艺。
图5示出了根据本发明实施例的穿透硅通孔124的曝光。利用光刻技术可以移除隔离膜410,在该光刻技术中,形成图案化的掩模保护除了上覆盖穿透硅通孔124部分之外的隔离膜410。然后,可以利用蚀刻工艺移除上覆盖穿透硅通孔124的隔离膜410的暴露部分。在图5示出的实施例中,继续蚀刻工艺,直到穿透硅通孔124从隔离膜410突出。
其后,可以进行适合具体应用的其它后段工艺(BEOL)技术,以完成半导体器件。例如,可以移除载体衬底134,在衬底的电路侧和背侧上可以形成底凸块金属化和接触,可以形成封装,可以进行切单工艺以切单单个管芯,可以进行晶片级或管芯级堆叠。然而,应该注意,本发明的实施例可以用于许多不同的情形。例如,本发明的实施例可以用于管芯与管芯的键合结构、管芯与晶片的键合结构或晶片与晶片的键合结构。
虽然已经详细描述了本发明和它的优点,但是应该理解,在没有偏离由附属权利要求定义的本发明的精神和范围的前提下,这里可以进行各种变化、置换和改造。而且,本申请的范围并不意指限制于本说明书中描述的工艺、机械、制造、以及物质的组成、方式、方法和步骤的具体实施例。作为本领域的一个普通技术人员,由本发明的公开,将很容易意识到,目前存在的或后来开发的,与这里描述的相应实施例进行基本相同的功能或实现基本相同的结果的工艺、机械、制造、物质的组成、方式、方法或步骤都可以根据本发明来利用。从而,附属权利要求指的是这些工艺、机械、制造、物质的组成、方式、方法或步骤包括在其范围内。

Claims (14)

1.一种半导体器件,包括:
半导体衬底;
穿过半导体衬底延伸的穿透硅通孔;
介于所述穿透硅通孔和半导体衬底之间的气隙;以及
覆盖所述半导体衬底的背面的电介质层,该电介质层沿着半导体衬底的背面密封所述气隙。
2.根据权利要求1的半导体器件,其中所述穿透硅通孔的一部分从半导体衬底的背面伸出。
3.根据权利要求1的半导体器件,其中所述气隙在半导体衬底的电路侧上的电介质层上方延伸。
4.根据权利要求1的半导体器件,进一步包括在所述电介质层上从所述穿透硅通孔向接触延伸的导电层,其中该导电层和穿透硅通孔由单个连续层的导电材料形成。
5.一种半导体器件的形成方法,该方法包括:
提供半导体衬底,该半导体衬底具有第一侧和与第一侧相对的第二侧;
形成从所述半导体衬底的第一侧延伸到半导体衬底中的开口;
形成沿着所述开口的侧壁的衬垫;
在开口中的所述衬垫上形成导电材料;
减薄所述半导体衬底的第二侧,由此暴露该衬垫;
移除至少一部分介于所述导电材料和半导体衬底之间的衬垫,由此形成气隙;和
沿着所述半导体衬底的第二侧形成电介质层,由此密封所述半导体衬底的第二侧上的气隙。
6.根据权利要求5的方法,其中减薄所述半导体衬底的第二侧的步骤包括:蚀刻所述半导体衬底的第二侧,以便一部分导电材料从所述半导体衬底的第二侧突出。
7.根据权利要求5的方法,其中所述衬垫在形成在所述半导体衬底的第一侧上的第二电介质层上延伸。
8.根据权利要求5的方法,其中所述衬垫包括TEOS。
9.一种形成半导体器件的方法,该方法包括:
提供具有从电路侧部分地穿过半导体衬底延伸的穿透硅通孔的半导体衬底,提供介于所述穿透硅通孔和半导体衬底之间的衬垫;
减薄所述半导体衬底的背面,以便暴露所述衬垫;
移除介于所述穿透硅通孔和半导体衬底之间的至少一部分衬垫,由此在所述半导体衬底的背面上围绕着所述穿透硅通孔形成开口;和
在该开口上形成电介质层,使密封的气隙介于所述穿透硅通孔和半导体衬底之间。
10.根据权利要求9的方法,其中所述衬垫包括电介质材料。
11.根据权利要求9的方法,其中所述衬垫在第二电介质层的至少一部分上延伸,其中所述第二电介质层在所述半导体衬底的电路侧上延伸。
12.根据权利要求9的方法,其中提供所述半导体衬底的步骤包括形成穿过一个或多个在所述半导体衬底的电路侧上的电介质层的穿透硅通孔。
13.根据权利要求9的方法,其中减薄该背面的步骤包括:减薄所述半导体衬底的背面,以便至少一部分穿透硅通孔从所述半导体衬底的背面突出。
14.根据权利要求9的方法,进一步包括在形成电介质层之后暴露所述穿透硅通孔,以便穿透硅通孔从所述电介质层突出。
CN2009101435283A 2008-12-31 2009-05-31 具有气隙的穿透硅通孔 Active CN101771018B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/347,745 2008-12-31
US12/347,745 US7910473B2 (en) 2008-12-31 2008-12-31 Through-silicon via with air gap

Publications (2)

Publication Number Publication Date
CN101771018A CN101771018A (zh) 2010-07-07
CN101771018B true CN101771018B (zh) 2012-05-23

Family

ID=42283904

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101435283A Active CN101771018B (zh) 2008-12-31 2009-05-31 具有气隙的穿透硅通孔

Country Status (2)

Country Link
US (2) US7910473B2 (zh)
CN (1) CN101771018B (zh)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8513119B2 (en) 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US7910473B2 (en) * 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US20100171197A1 (en) 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8399354B2 (en) * 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US9406561B2 (en) * 2009-04-20 2016-08-02 International Business Machines Corporation Three dimensional integrated circuit integration using dielectric bonding first and through via formation last
US8021926B2 (en) * 2009-09-22 2011-09-20 Freescale Semiconductor, Inc. Methods for forming semiconductor devices with low resistance back-side coupling
US8791549B2 (en) 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
CN102088014A (zh) * 2009-12-04 2011-06-08 中国科学院微电子研究所 3d集成电路结构、半导体器件及其形成方法
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8487445B1 (en) * 2010-10-05 2013-07-16 Amkor Technology, Inc. Semiconductor device having through electrodes protruding from dielectric layer
KR20120048991A (ko) 2010-11-08 2012-05-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
EP2463896B1 (en) * 2010-12-07 2020-04-15 IMEC vzw Method for forming through-substrate vias surrounded by isolation trenches with an airgap and corresponding device
KR20120067525A (ko) * 2010-12-16 2012-06-26 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US8329575B2 (en) 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
FR2970120A1 (fr) * 2010-12-31 2012-07-06 St Microelectronics Crolles 2 Via traversant isole
US9059262B2 (en) * 2011-02-24 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including conductive structures through a substrate and methods of making the same
TWI441292B (zh) * 2011-03-02 2014-06-11 矽品精密工業股份有限公司 半導體結構及其製法
US8716128B2 (en) 2011-04-14 2014-05-06 Tsmc Solid State Lighting Ltd. Methods of forming through silicon via openings
KR101828063B1 (ko) 2011-05-17 2018-02-09 삼성전자주식회사 반도체 장치 및 그 형성방법
KR101767654B1 (ko) 2011-05-19 2017-08-14 삼성전자주식회사 에어 갭 절연 구조를 갖는 관통전극을 구비한 반도체 소자 및 그 제조방법
US8900994B2 (en) * 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
US8691691B2 (en) * 2011-07-29 2014-04-08 International Business Machines Corporation TSV pillar as an interconnecting structure
US9082832B2 (en) 2011-09-21 2015-07-14 Stats Chippac, Ltd. Semiconductor device and method of forming protection and support structure for conductive interconnect structure
US9484259B2 (en) 2011-09-21 2016-11-01 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming protection and support structure for conductive interconnect structure
WO2013089673A1 (en) * 2011-12-13 2013-06-20 Intel Corporation Through-silicon via resonators in chip packages and methods of assembling same
FR2984601B1 (fr) * 2011-12-14 2015-04-10 Commissariat Energie Atomique Formation d'une connexion electrique du type via
US8779559B2 (en) * 2012-02-27 2014-07-15 Qualcomm Incorporated Structure and method for strain-relieved TSV
CN103367280B (zh) * 2012-03-26 2016-03-23 南亚科技股份有限公司 穿硅通孔结构及其制作方法
WO2013160976A1 (ja) * 2012-04-26 2013-10-31 パナソニック株式会社 半導体装置およびその製造方法
US8563403B1 (en) 2012-06-27 2013-10-22 International Business Machines Corporation Three dimensional integrated circuit integration using alignment via/dielectric bonding first and through via formation last
CN102768986A (zh) * 2012-07-04 2012-11-07 上海华力微电子有限公司 一种大马士革工艺空气间隔的制作方法
US8940637B2 (en) * 2012-07-05 2015-01-27 Globalfoundries Singapore Pte. Ltd. Method for forming through silicon via with wafer backside protection
KR101972969B1 (ko) 2012-08-20 2019-04-29 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
US9412650B2 (en) * 2013-03-08 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
US9401329B2 (en) * 2013-03-12 2016-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
US8877559B2 (en) * 2013-03-15 2014-11-04 Globalfoundries Inc. Through-silicon via with sidewall air gap
KR20140131786A (ko) 2013-05-06 2014-11-14 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
CN104241249B (zh) * 2013-06-21 2017-03-22 中芯国际集成电路制造(上海)有限公司 硅通孔互连结构及其制作方法
KR20150073473A (ko) * 2013-12-23 2015-07-01 에스케이하이닉스 주식회사 반도체 소자 및 제조 방법
WO2016057049A1 (en) 2014-10-10 2016-04-14 Halliburton Energy Services, Inc. Solid-state overvoltage firing switch
CN105428311A (zh) * 2015-12-16 2016-03-23 华进半导体封装先导技术研发中心有限公司 Tsv背部露头的工艺方法
US9881867B1 (en) 2017-01-19 2018-01-30 Nanya Technology Corporation Conductive connection structure having stress buffer layer
US10319680B1 (en) * 2018-03-01 2019-06-11 Sandisk Technologies Llc Metal contact via structure surrounded by an air gap and method of making thereof
US11747298B2 (en) * 2020-01-30 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer-level packaging of solid-state biosensor, microfluidics, and through-silicon via
US11557510B2 (en) * 2020-07-30 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including backside power rails
FR3118285B1 (fr) * 2020-12-22 2023-01-13 Commissariat Energie Atomique Dispositif à tige d’insert de connexion électrique semi-enterrée
CN113675140B (zh) * 2021-08-20 2024-05-17 武汉新芯集成电路制造有限公司 半导体器件及其制造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1311528A (zh) * 2000-02-28 2001-09-05 精工爱普生株式会社 半导体器件及其制造方法、电路板和电子装置
CN101330025A (zh) * 2007-06-20 2008-12-24 海力士半导体有限公司 用于封装的半导体芯片的和半导体封装的制造方法

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05211239A (ja) * 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
DE4314907C1 (de) * 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5391917A (en) * 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US5880018A (en) 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
US6882030B2 (en) * 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
EP2270845A3 (en) * 1996-10-29 2013-04-03 Invensas Corporation Integrated circuits and methods for their fabrication
US6037822A (en) * 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) * 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
JP3532788B2 (ja) * 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6322903B1 (en) * 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6444576B1 (en) * 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6599778B2 (en) * 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
WO2003063242A1 (en) * 2002-01-16 2003-07-31 Alfred E. Mann Foundation For Scientific Research Space-saving packaging of electronic circuits
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6800930B2 (en) * 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US7030481B2 (en) * 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US6841883B1 (en) * 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6924551B2 (en) * 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US7111149B2 (en) * 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
TWI251313B (en) * 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7091124B2 (en) * 2003-11-13 2006-08-15 Micron Technology, Inc. Methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US7335972B2 (en) * 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US7049170B2 (en) * 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
JP4467318B2 (ja) * 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法
US7262495B2 (en) * 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US7371676B2 (en) * 2005-04-08 2008-05-13 Micron Technology, Inc. Method for fabricating semiconductor components with through wire interconnects
US7297574B2 (en) * 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
JP4250154B2 (ja) * 2005-06-30 2009-04-08 新光電気工業株式会社 半導体チップ及びその製造方法
KR100621438B1 (ko) * 2005-08-31 2006-09-08 삼성전자주식회사 감광성 폴리머를 이용한 적층 칩 패키지 및 그의 제조 방법
US20070069327A1 (en) * 2005-09-29 2007-03-29 Infineon Technologies Ag Method for manufacturing an integrated semiconductor device
JP5222459B2 (ja) * 2005-10-18 2013-06-26 新光電気工業株式会社 半導体チップの製造方法、マルチチップパッケージ
US20080136038A1 (en) * 2006-12-06 2008-06-12 Sergey Savastiouk Integrated circuits with conductive features in through holes passing through other conductive features and through a semiconductor substrate
US7531443B2 (en) * 2006-12-08 2009-05-12 Micron Technology, Inc. Method and system for fabricating semiconductor components with through interconnects and back side redistribution conductors
JP4961617B2 (ja) * 2007-10-01 2012-06-27 新光電気工業株式会社 配線基板とその製造方法及び半導体装置
US20090127667A1 (en) * 2007-11-21 2009-05-21 Powertech Technology Inc. Semiconductor chip device having through-silicon-via (TSV) and its fabrication method
US8049310B2 (en) * 2008-04-01 2011-11-01 Qimonda Ag Semiconductor device with an interconnect element and method for manufacture
US7910473B2 (en) * 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US8399354B2 (en) 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1311528A (zh) * 2000-02-28 2001-09-05 精工爱普生株式会社 半导体器件及其制造方法、电路板和电子装置
CN101330025A (zh) * 2007-06-20 2008-12-24 海力士半导体有限公司 用于封装的半导体芯片的和半导体封装的制造方法

Also Published As

Publication number Publication date
US7910473B2 (en) 2011-03-22
US20100164117A1 (en) 2010-07-01
CN101771018A (zh) 2010-07-07
US8436448B2 (en) 2013-05-07
US20110133335A1 (en) 2011-06-09

Similar Documents

Publication Publication Date Title
CN101771018B (zh) 具有气隙的穿透硅通孔
US11600551B2 (en) Through-silicon via with low-K dielectric liner
US9646930B2 (en) Semiconductor device having through-substrate vias
US9312225B2 (en) Bump structure for stacked dies
US8053277B2 (en) Three-dimensional integrated circuits with protection layers
US8174124B2 (en) Dummy pattern in wafer backside routing
CN101789417B (zh) 硅通孔侧壁隔离结构
US10930619B2 (en) Multi-wafer bonding structure and bonding method
CN104576585A (zh) 形成连接至多个穿透硅通孔(tsv)的图案化金属焊盘的机制
US20230141447A1 (en) Semiconductor package, and method of manufacturing the same
US11315904B2 (en) Semiconductor assembly and method of manufacturing the same
KR20210145068A (ko) 칩릿 3D SoIC 시스템 집적 및 제조 방법
KR20230127836A (ko) 활성 다이 및 더미 다이를 본딩하는 방법 및 그의 구조

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant