CN102105977B - 基于硅/碳材料的pmos与nmos晶体管的性能提升 - Google Patents

基于硅/碳材料的pmos与nmos晶体管的性能提升 Download PDF

Info

Publication number
CN102105977B
CN102105977B CN200980129329.5A CN200980129329A CN102105977B CN 102105977 B CN102105977 B CN 102105977B CN 200980129329 A CN200980129329 A CN 200980129329A CN 102105977 B CN102105977 B CN 102105977B
Authority
CN
China
Prior art keywords
transistor
conducting material
electrode structure
strain
species
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200980129329.5A
Other languages
English (en)
Other versions
CN102105977A (zh
Inventor
J·霍尼舒尔
V·帕帕耶奥尔尤
B·香农
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN102105977A publication Critical patent/CN102105977A/zh
Application granted granted Critical
Publication of CN102105977B publication Critical patent/CN102105977B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

一种硅/锗材料和硅/碳材料可以根据适当的制造制度提供在不同导电类型(150P、150N)的晶体管,而不会不当地增加整体的工艺复杂度。再者,在形成相应的应变半导体合金(153)之前可以透过空腔(103P、103N)的暴露表面区域提供适当的植入物种,由此额外地提供增强的整体晶体管性能。在其他的实施例中,硅/碳材料可以形成在P沟道晶体管(150P)和N沟道晶体管(150N)中,同时在P沟道晶体管中,可以通过应力记忆技术而过度补偿相应的拉伸应变成分。于是,碳物种的有利的效果,例如增强P沟道晶体管的整体掺杂剂分布,可以结合有效的应变成分,同时可以达成提升的整体工艺一致性。

Description

基于硅/碳材料的PMOS与NMOS晶体管的性能提升
技术领域
一般而言,本揭示发明关于集成电路,而尤关于通过使用嵌入的应变诱发材料形成具有应变的沟道区的不同的晶体管类型,以提升于该沟道区中电荷载体迁移率。
背景技术
由于在给定的晶片面积上能够提供越来越多之功能,因此集成电路已发现可以广泛地应用于许多的领域。集成电路由例如晶体管之极多的个别电路组件所组成,其中数百万个或者甚至数亿个个别的晶体管可以设置于复杂的装置上。一般而言,目前正在实行复数种之工艺技术,其中对于例如微处理器、储存器晶片等复杂的电路,CMOS技术由于鉴于操作速度和/或电力消耗和/或成本效率之优越的特性,目前是最有前景的方法。使用CMOS技术制造复杂的集成电路期间,数百万个晶体管,亦即,N沟道晶体管和P沟道晶体管形成在包含结晶半导体层之基板上。MOS晶体管,无论考虑到是N沟道晶体管还是P沟道晶体管,皆包括通称的PN接面,该PN接面由高度掺杂之漏极和源极区域与配置于漏极和源极区域间之相反掺杂之沟道区域之介面所形成。该沟道区域之导电率,亦即,导电沟道之驱动电流能力,由形成靠近于该沟道区域并通过薄的绝缘层与该沟道区域分离之栅极电极所控制。在由于施加了适当的控制电压至该栅极电极而形成导电沟道时,沟道区域之导电率系取决于掺杂剂浓度、电荷载体之迁移率、和对于沟道区域在晶体管宽度方向之给定延伸而言系取决于该源极漏极区域之间之距离(亦称之为沟道长度)。于是,沟道长度之减少,和与其关联之沟道电阻之减少,系使得沟道长度为用来达成集成电路之操作速度之增加的主要设计准则。
然而,连续地微缩晶体管尺寸,涉及复数个与其关联之问题,该等问题必须被解决以便不会不当地抵销了通过稳定地减少MOS晶体管之沟道长度所获得的优点。于此方面之一个主要问题是提升的光学微影术(photolithography)和蚀刻策略之研发要能可靠和可重制地创造关键尺寸之电路元件(例如晶体管之栅极电极)以用于新的装置世代。而且,于该漏极和源极区域中需要于垂直方向和于侧方向之高度精密之掺杂剂分布(dopant profile),以在提供所希望之沟道可控制性之同时,提供低薄片电阻率和接触电阻率。
关键尺寸(亦即,晶体管之栅极长度)之连续尺寸减少需要相关于上述工艺步骤之高度复杂之工艺技术的调适(adaptation)并可能需要其新的发展。因此,已经提出通过针对给定之沟道长度增加沟道区域中之电荷载体迁移率从而提升晶体管元件之沟道导电率,由此提供达成下述性能改善之潜力,其中该性能改善同等于前进至未来技术节点,同时避免或者至少延后关联于装置微缩之许多的上述工艺调适。用来增加电荷载体迁移率之一个有效的机制为于沟道区域中之晶格结构之修正,例如通过于沟道区域之附近创造拉伸(tensile)或压缩(compressive)应力以于沟道区域中产生相应的应变,该应变造成分别对电子和电洞的修正迁移率。举例而言,于具有标准(100)表面方位之沟道区域中创造拉伸应变可以增加电子之迁移率,该增加之电子迁移率转而可以直接转变成导电率之相应增加。另一方面,于沟道区域中之压缩应变可以增加电洞之迁移率,藉此提供增强P型晶体管之性能之潜力。将应力或应变工程引入集成电路制造中为进一步装置世代极有前景之方法,因为,例如,应变硅可以视为“新”类型之半导体材料,该材料可以制造快速有效的半导体装置而不需要昂贵的半导体材料,同时仍然可以使用许多已建立完善的制造技术。
在一种方法,通过在晶体管之漏极和源极区域中形成应变之硅/锗(germanium)层而提升PMOS晶体管之电洞迁移率,其中该压缩应变之漏极和源极区域在邻接之硅沟道区域创造单轴应变(uniaxialstrain)。欲达此目的,PMOS晶体管之漏极和源极区域被选择性地凹入(recessed),同时掩模(mask)该NMOS晶体管,以及后续地通过磊晶生长将该硅/锗层选择性地形成在该PMOS晶体管中。于是,例如蚀刻工艺、适当的蚀刻与生长掩模之形成和选择性的磊晶生长技术之复杂的制造步骤必须加入CMOS工艺流程中。
在其他的方法,硅/碳材料可以使用于NMOS晶体管以创造所希望之晶格错置(mismatch),尤其是在NMOS晶体管之沟道区域中,而该晶格错置经常可以通过将碳离子植入于漏极和源极区域中而完成。然而,根据硅/碳合金而对不同导电率类型之晶体管达到之性能增益也许导致甚至更复杂之制造流程,如用来形成各自应变层之各种步骤也许必须适当地整合于复杂的制造流程中,此情况也许导致较期望者为较不明显的性能增益。
本揭示发明关于各种的方法和装置,其能够避免或者至少减少一个或多个上述问题之影响。
发明内容
下文提出本发明之简单概述,以便提供本发明某些态样之基本了解。此概述并非本发明之广泛的详尽综论。其无意用来验证本发明之关键或重要元件,或用来描绘本发明之范筹。其唯一的目是以简化形式呈现本权利要求标的之某些概念作为稍后更详细说明之引言。
一般而言,本揭示发明系关于技术和半导体装置,其能够制造嵌入于漏极和源极区域之不同应变之半导体材料,其中至少可被使用之半导体合金具有较硅之自然晶格常数为低之自然晶格常数。举例而言,揭示于本文中之一些例示态样中,可以使用硅/碳合金结合另外的半导体合金(例如硅/锗合金),以提供对于不同导电率类型之晶体管之不同类型之应变,同时仍然提供有效的制造策略,例如关于形成相应的半导体合金之前使用适合的蚀刻终止和间隔件(spacer)层和/或加入适当的植入物种。因此,能利用各不同的半导体合金之优点,同时相较于通常可能使用单一的应变诱发半导体合金之习知的策略,不会不当地增加额外的工艺复杂度。揭示于本文中之其他例示态样中,例如硅/碳之半导体材料(其一般可以使用来提升N沟道晶体管之特性)亦可以结合精密的应力记忆技术而提供于P沟道晶体管中,藉此获得于P沟道晶体管中所希望类型之应变,同时由于在P沟道晶体管之漏极和源极区域中碳物种之正面效果,而使得能够提升整体的制造效率和晶体管性能的额外增益。
本文中所揭示之一种例示方法系关于在第一导电类型的第一晶体管和第二导电类型的第二晶体管中形成应变半导体材料。该方法包括在第一晶体管的第一栅极电极结构和第二晶体管的第二栅极电极结构上方形成层堆栈(a stack of layers),其中该第一和第二栅极电极结构包括各自的盖层(cap layer),和其中该层堆栈包括间隔件层和形成在该间隔件层上方之蚀刻终止层。该方法另外包括通过使用蚀刻终止层而在该第二晶体管上方形成掩模,和于该第一栅极电极结构处从该间隔件层形成第一间隔件元件。而且,第一空腔(cavity)根据该第一间隔件元件形成在第一晶体管之漏极和源极区域,以及第一应变半导体材料形成在该第一空腔中。该方法进一步包括根据从该间隔件层形成的第二间隔件元件形成第二空腔于该第二晶体管之漏极和源极区域中。最后,该方法包括形成第二应变半导体材料于该第二空腔中,其中该第一和第二应变半导体材料具有不同的材料成分。
本文中所揭示之另一例示方法包括形成邻接第一晶体管的第一栅极电极结构的第一空腔,和邻接第二晶体管的第二栅极电极结构的第二空腔,其中该第一和第二晶体管为不同的导电类型。该方法进一步包括形成半导体材料于该第一和第二空腔中,其中该半导体材料具有第一类型的应变。此外,于该第一晶体管中,于该半导体材料中选择性地创造晶格损伤(lattice damage)以形成实质上松弛的半导体材料。再者,该方法包括再结晶(re-crystallize)该实质上松弛的半导体材料于一应变状态,该应变状态相应于与该第一类型应变相反的第二类型应变。
本文中所揭示之一个例示半导体装置包括第一晶体管,该第一晶体管包括于漏极和源极区域中之硅/碳合金,其中该第一晶体管包括呈现有沿着沟道长度方向的第一应变成分之沟道区域。该半导体装置进一步包括第二晶体管,该第二晶体管包括于其漏极和源极区域中之硅/碳合金,其中该第二晶体管包括呈现有沿着沟道长度方向的第二应变成分之沟道区域,其中该第一和第二应变成分为相反类型。
附图说明
通过参照以上叙述结合随附图式可了解本发明,其中相似之元件符号识别相似之元件,且其中:
图1a至图1k示意地显示依照例示之实施例,包含不同导电类型的晶体管之半导体装置于各种制造阶段之剖面图,其中可以根据复杂的制造流程,包含提升之掩模状况、可能与加入之掺杂剂物种、非掺杂物种结合等,设置不同类型的应变半导体材料,用来提升整体晶体管之特性;以及
图2a至图2h示意地显示依照另外例示之实施例,于通过使用共同半导体合金形成具有不同应变成分之晶体管元件之各种制造阶段期间半导体装置之剖面图。
虽然本文中所揭示之标的内容易受到各种的修饰和替代形式之影响,但是本发明之特定实施例已通过图式举例之方式显示,并且于本文中作了详细说明。然而,应了解到本文中特定实施例之详细说明并不欲限制本发明于所揭示之特定的形式,反之,本发明将涵盖所有落于由所附之权利要求所界定之精神和范围内之所有的修饰、等效、和改变。
具体实施方式
以下将说明本发明之各种范例实施例。为求简明,本说明书并未说明真实实行例之所有特点。当然应了解在发展任何此种真实的实施例中,须作出多个针对实行例之决定以达到开发者特定的目标,例如符合系统相关以及商业相关之限制,该些限制将随着各个实行例而变化。另外,将了解到虽然该发展努力可能复杂且费时,但是对于熟悉该项技艺者在了解本发明之揭露内容后其仅为惯常的程序。
现将参考附图来说明本发明。各种结构、系统和装置以示意方式绘示于各图式中仅为了解释之目的,以便不会由熟习此项技术者已熟知之细节模糊了本发明内容。不过,仍包含附图以说明与解释本发明用来说明的实例。应以熟悉该项技艺者所认定之意义来了解本文中的字汇与词。本文前后一致使用的术语以及词汇并无暗示特别的定义,该特别的定义系指与熟悉该项技艺者认知之普通惯用的定义所不同之定义。如果一个术语或词汇具有特别定义,亦即非为熟悉该项技艺者所了解之义意时,在本说明书中将会以定义方式直接且明确地提供其特别的定义。
一般而言,本揭示发明系关于制造技术和相关半导体装置,于该半导体装置中不同导电率类型的场效晶体管可以容置应变半导体材料于漏极和/或源极区域以便提供所希望之应变大小和/或类型于这些晶体管之相应沟道区域中。为了此目的,于一些例示实施例中,可以根据适当的制造状况使用不同的半导体合金,例如硅/锗和硅/碳,其中可以通过使用包含间隔件层和蚀刻终止层之适当设计的层堆栈而将该二种材料嵌入入相应晶体管之漏极和源极区域。再者,可以在通过磊晶生长技术形成各别的应变半导体材料之前通过加入任何所希望类型的植入物种,例如掺杂剂、非掺杂(non-doping)物种等,而提升对于至少一种类型的晶体管的整体晶体管性能。于此情况,可以根据额外的植入物种而提升漏极和源极区域之电子特性,而因为可于生长应变半导体材料之前实施植入工艺,故可以加入该植入物种而不会产生额外的植入诱发性损害(implantation-induced damage)。结果,对于N沟道晶体管而言,由于经特定地最佳化之掺杂剂分布,故可以获得减少之源极/漏极接面电阻(junction resistance)。再者,由于相应的PN接面存在有较高漏电流,故可减少于绝缘体上载硅(silicon-on-insulator,SOI)晶体管中之浮置主体效应(floatingbody effect),因此其可以减少于SOI晶体管之主体区域中之电荷载体累积。亦可以在实际形成应变半导体材料之前通过加入适当的植入物种而完成PN接面特性之相应调整。此外,由于在N沟道晶体管之漏极和源极区域中嵌入之硅/碳合金,而可以提升电子迁移率。同样情况,于P沟道晶体管中,由于硅/锗材料之较低之本质电阻率(intrinsicresistivity)而可以获得减少之源极和漏极电阻,以及亦可以调整穿过PN接面之漏电流至适当的高值,依于整体的晶体管特性而定,由此亦减少电荷载体累积于SOI晶体管中。最后,由嵌入之硅/锗合金所引起之于沟道区域中增加之电洞迁移率可以额外地对整体提升之装置性能有所助益。再者,因为二种应变诱发半导体材料可以于适当早期制造阶段加入,因此额外的应变诱发机制可以施行至整体制造流程中而实质上不需要额外的工艺步骤。举例而言,可以设置应变诱发间隔件元件和/或应变诱发介电盖层以便于至少一种类型的晶体管中进一步提升应变。
在本文中所揭示之其他例示实施例中,可以使用高度有效的制造顺序将硅/碳材料加入不同导电率类型的晶体管中,其中亦可以使用额外的应变诱发机制于例如P沟道晶体管中,以过度补偿(overcompensate)硅/碳材料之任何的负面影响,同时利用碳在用作为扩散干扰物种之特性,如此可以于P沟道晶体管中提供提升准确度之掺杂剂分布。结果,结合P沟道晶体管之漏极和源极区域之提升之电子特性与过度补偿之应变成分,可以达成P沟道晶体管之明显强化,其中,对于SOI架构而言,亦可以达成减少之浮置主体效应,同时由于嵌入之硅/碳合金,N沟道晶体管可以呈现减少之漏极/源极接面电阻以及沟道区域中之增加之电子迁移率。而且,于此情况,由于碳物种之存在,可以通过提供增加漏电流之PN接面而减少电荷载体累积于SOI N沟道晶体管之浮置主体中。
图1a示意地显示半导体装置100之剖面图,该半导体装置100于早期制造阶段可以包括第一晶体管150P和第二晶体管150N。该半导体装置100可以包括基板101,该基板101表示可以用来在其上形成半导体层103(例如硅基层)之任何适当的载体材料,可以通过对于该第一和第二晶体管150P、150N产生特定类型的应变而局部地调适该半导体层103之电子特性。半导体层103可以表示硅基层,亦即,包含明显硅含量之半导体材料,其中可以存有例如锗、碳、掺杂剂物种等之其他成分。再者,于所示实施例中,嵌入之绝缘层102可以定位于基板101和半导体层103之间,藉此定义SOI架构,其中应该了解到,于装置100之其他装置区域,依于整体装置需求可以提供块体组构(bulkconfiguration)。应该注意的是,本文中所揭示之原理可以非常有利于SOI架构,这是因为可以提供有效的应变诱发机制结合额外的措施用来减少电荷载体累积之故,如此可以一般性地改进SOI晶体管关于浮置主体效应和迟滞效应(hysteresis)(亦即,依据相应晶体管元件之“切换历史(switching history)”之临限(threshold)变化)之性能。于其他例示实施例中,本文中揭示之原理亦可有利地应用于块体组构(亦即半导体层103之厚度可以大于待形成于晶体管150P、150N中之漏极和源极区域之深度之组构)。
再者,可以根据例如浅沟槽隔离(shallow trench isolation)(未显示)之适当的隔离结构而定义于半导体层103中之适当的主动区域,该隔离结构亦可以设置于晶体管150P、150N之间。再者,晶体管150P、150N可以包括栅极电极结构151,该栅极电极结构151于此制造阶段可以包括形成在栅极绝缘层151B上之电极材料151A,该栅极绝缘层151B分离电极材料151A与沟道区域152。栅极电极材料151A可以表示任何适当的材料,例如多晶硅(polysilicon)等,甚至,该栅极电极材料151A可在后来的制造阶段由提升导电率之材料所取代,依于全部的工艺和装置需求而定。同样情况,栅极绝缘层151B可以由任何适当的介电材料组成,例如基于二氧化硅(silicon dioxide)之材料、氮化硅(silicon nitride)、氧氮化硅(silicon oxynitride)、高k介电材料(例如氧化铪(hafnium oxide)、氧化锆(zirconium oxide)等)。再者,栅极电极结构151可以包含盖层151C,该盖层151C可以由氮化硅等组成。再者,半导体装置100可以包括形成在晶体管150P、150N之上之层堆栈104,以及于所示实施例中,可以包括第一层或者间隔件层104A,在该第一层或者间隔件层104A之上可设有第二层或者蚀刻终止层104B。于一个例示实施例中,间隔件层104A可以由氮化硅组成,而该蚀刻终止层104B可以由二氧化硅组成。于是,于所示实施例中,间隔件层104A和盖层151C可以由具有与后续的蚀刻工艺有相似特性之材料组成,而使得于共同蚀刻序列期间可以去除这些成分。再者,蚀刻终止层104B于蚀刻工艺期间可以具有足够提供所希望之蚀刻终止能力之厚度,用于在该晶体管150N之上局部地设置蚀刻和生长掩模。举例而言,蚀刻终止层104B当以二氧化硅材料形式设置时,可以具有大约20至50nm或者甚至更多之厚度。换言之,间隔件层104A能够以高度共形(conformal)之方式以适当的厚度设置,以便于用来于稍后制造阶段在半导体层103中形成空腔之蚀刻工艺期间调整所希望之偏移(offset)。举例而言,间隔件层104A之厚度于精密的应用中可以有大约1至20nm的范围,其中栅极长度(亦即,于图1a中栅极电极材料151A之水平延伸)可以约为50nm和更少,例如30nm和更少。然而,应该了解到,如果于进一步的工艺期间需要增加的偏移,则可以选择增加的厚度。
可以根据下列之工艺形成如图1a中所示之半导体装置100。于形成各自的隔离结构(未显示)并且定义用于半导体层103中晶体管150P、150N之相应的基本掺杂剂分布之后,可以通过已建立完善之技术(可以包含氧化作用和/或栅极介电材料之沉积并接着进行栅极电极材料151A和盖层151C之材料之沉积)形成栅极电极结构151。可以通过精密的光学微影术和蚀刻技术而图案化相应的材料堆栈。其次,例如使用热活化化学气相沉积(CVD)技术,例如通过沉积间隔件层104A而形成堆栈104,其中,如果需要,可以通过氧化作用形成薄氧化物层于栅极电极材料151A和半导体层103之暴露区域上。其后,可以通过例如CVD等形成蚀刻终止层104B,其中可以选择蚀刻终止层104B之材料密度和厚度而使得可以获得所希望之蚀刻终止能力。其后,可以例如通过电浆辅助CVD、热活化CVD等,沉积掩模材料(未显示),该掩模材料接着通过光学微影术和适当选择之蚀刻技术而被图案化。
图1b示意地显示具有掩模105之半导体装置100,该掩模105覆盖第二晶体管150N,同时暴露第一晶体管150P,亦即,曝露形成于其上的层堆栈104。于一个例示实施例中,掩模105可以表示硬掩模,例如由氮化硅和任何其他适当的材料组成,其可以被选择性地蚀刻至蚀刻终止层104B。于其他的例示实施例中,任何其他适当的材料,例如抗蚀材料,可以被选择性地形成于第二晶体管150N之上,以及可以被用来图案化第一晶体管150P中的层堆栈104。
图1c示意地显示于蚀刻序列106期间之半导体装置100,该蚀刻序列106可以包括用来选择性地去除蚀刻终止层104B的第一蚀刻步骤,该第一蚀刻步骤可以根据已建立完善之蚀刻技术(例如通过使用氢氟酸(Hydrofluoric acid,HF))完成,其后可以实施各向异性(anisotropic)的蚀刻步骤,以相对于半导体层103之材料选择性地蚀刻间隔件层104A,同时于其他情况,可以设置薄氧化层(未显示)用作为各向异性的蚀刻工艺期间之蚀刻终止材料。因此,于蚀刻工艺106后,可以形成侧壁间隔件104S于栅极电极结构151之侧壁上,其中间隔件104S之宽度由间隔件层104A之初始层厚度和蚀刻序列106之状况而定。于所示实施例中,若间隔件层104A和掩模105由具有相似蚀刻性质之材料所组成,或者实质上由相同的材料所组成,则某种程度之材料腐蚀亦也许发生于掩模105中,如由虚线所示。于其他例示实施例中,至少于用来选择性的去除第一晶体管150P上方之蚀刻终止层104B之序列106之初次蚀刻步骤期间,掩模105可以表示抗蚀材料。其后,如果需要,可以去除抗蚀掩模(resist mask),以及可以根据选择性的蚀刻配方而实施序列106之各向异性的蚀刻步骤,其中该蚀刻终止层104B可以保护在第二晶体管150N上方之层104A之剩余部分。
图1d示意地显示半导体装置100于进一步前进之制造阶段,其中可以实施另一蚀刻工艺107以获得邻接于第一晶体管150P之半导体层103中之栅极电极结构151之凹部或空腔103P。可以根据已建立完善之蚀刻配方(例如各向同性的配方、各向异性的配方、或者他们的组合),依于空腔103P所希望之形状和大小而实施蚀刻工艺107。举例而言,相对于可用来形成空腔103P之氮化硅材料、氧化物材料等可以使用复数种蚀刻化学作用而选择性地去除硅材料。于蚀刻工艺107期间,可以选择各自的工艺参数,例如电浆功率、压力、用来控制水平去除率之聚合物(polymer)材料之类型和数量等,以便能够获得所希望形状之空腔103P。举例而言,可以通过间隔件104S之宽度而实质上决定空腔103P离沟道区域152之偏移(offset)。若希望或多或少明显程度之底蚀刻(under-etching),则依于空腔103P所希望的整体的大小和形状而定,可以例如从蚀刻工艺107之开始或者于工艺之某一阶段,适当地选择工艺参数和/或蚀刻化学作用。于所示实施例中,掩模105可以保护形成在第二晶体管150N之上之层堆栈104。于其他情况,掩模105若设置为抗蚀材料等,而也许于较早的制造阶段已经被去除,而于此情况中,蚀刻终止层104B可以可靠地保护间隔件层104A。
在一些例示实施例中,于蚀刻工艺107之后和去除掩模105之前或之后,可以实施一个或多个植入工艺108而透过空腔103P的暴露表面部分加入一种或多种植入物种108A。举例而言,一个或多个植入工艺108可以包括根据非0之倾斜角而实施之一个或多个步骤,该非0之倾斜角系理解为相对于半导体层103或者嵌入之绝缘层102之法线为非0之角度。结果,可以加入任何所希望之植入物种,其中可以通过一个或多个植入工艺108之工艺参数(例如能量、剂量、倾斜角度、植入物种的类型等)控制植入物种108A之位置。于一些例示实施例中,植入物种108A可以包括掺杂剂物种,该掺杂剂物种例如为根据要填满于空腔103P之受应变半导体材料(strained semiconductormaterial),而用于相对于在稍后制造阶段将被形成之漏极和源极区域而反向掺杂(counter-doping)层103之材料。再者,于其他的例示实施例中,植入物种108A可以包括用来定义漏极和源极区域之至少一部分(例如延伸区域)之掺杂剂,以避免于提供受应变半导体材料于空腔103P中后的稍后的制造阶段之额外的植入步骤。于其他的例示实施例中,各自的漏极和源极延伸区域可以已于早期的制造阶段形成,例如依于其初始的层厚度可以在沉积间隔件层104A之前或者之后形成。又于其他的例示实施例中,植入物种108A可以包括相应的成分,例如氮、碳等,该等相应的成分可以导致相应的掺杂剂物种(例如硼)之减少的扩散活性,其中,该相应的掺杂剂物种可以于稍后的制造阶段提供。以此种方式,最终获得之漏极和源极区域之PN接面可以根据植入物种108A之一个或多个成分而以提升之准确度来定义。而且,亦可以根据植入物种108A调整穿过仍待形成之PN接面之漏电流之程度,由此使得晶体管150P相关于浮置主体效应能够有高度有效稳定度之临限电压。于植入工艺108期间,可以通过间隔件104S和盖层151C抑制或者至少缩减不适当地将植入物种108A加入栅极电极材料151A中之情形。于掩模105已于较早的制造阶段去除的其他的实施例中,可以减少工艺108之倾斜植入步骤期间相应的遮蔽效应(shadowing effect)。
图1e示意地显示于选择性的磊晶生长工艺109期间之半导体装置100,可以根据已建立完善之工艺配方实施该选择性的磊晶生长109,以便选择性地沉积半导体合金于空腔103P的暴露表面(图1d),同时实质地避免显著材料沉积于介电表面区域。举例而言,于生长工艺109期间,硅/锗可以用所希望的锗含量沉积,而使得当在硅层103上生长时,可以获得应变状态,该应变状态之大小可以依于锗之含量而决定。举例而言,约15至35原子百分比之锗可以加入于硅/锗合金中以便形成受应变之半导体材料153P。应该了解到,于其他的例示实施例中,除了锗之外还可额外地使用相较于硅具有较大之共价半径(covalentradius)之其他的原子物种(例如锡),或者可使用该等原子物种而替代锗,而该原子物种亦可以加入于材料153P中。于此情况,可以加入明显减少含量之非硅材料,同时仍然提供材料153P相对于层103之环绕模板材料之所希望之自然晶格常数之差。于一些例示实施例中,于生长工艺109期间,掺杂剂物种(例如硼)亦可以加入于材料153P中,而使得可以避免或者至少可以减少其他的植入工艺的植入剂量,由此亦维持材料153P之植入诱发性损害于低水准。其后,可以通过去除掩模105而继续进一步之工艺。去除掩模105可以通过已建立完善之选择性蚀刻配方完成,例如使用热磷酸(hot phosphoric acid),藉此当掩模105由氮化硅组成时有效地去除掩模105,并选择性地至蚀刻终止层104B,同时亦去除晶体管150P中之间隔件104S和盖层151C。
图1f示意地显示于上述工艺序列后之半导体装置100。而且,装置100暴露于设计用来选择性地移除暴露之蚀刻终止层104B之蚀刻环境110,同时维持间隔件层104A。为了此目的,可以使用已建立完善之选择性蚀刻配方(例如根据氢氟酸(hydrofluoric acid,HF))。其后,可以实施其他的蚀刻工艺以便各向异性地蚀刻暴露之间隔件层104A,如亦参照蚀刻工艺106(图1c)之说明。
图1g示意地显示于上述工艺序列后并且具有掩模111覆盖第一晶体管150P之半导体装置100,同时第二晶体管150N具有栅极电极结构151,且由于先前实施之各向异性蚀刻工艺,该栅极电极结构151现在包括间隔件元件104R。掩模111可以由氮化硅或者可以与进一步工艺相容之任何其他的材料组成。
图1h示意地显示于蚀刻工艺112期间之半导体装置100,该蚀刻工艺112可以根据与蚀刻工艺107(图1d)相似的工艺参数而实施。也就是说,蚀刻工艺112之工艺参数和蚀刻化学作用可以依照邻接栅极电极结构151所创造之空腔103N之所希望之大小和形状而选择,其中间隔件104R保护其侧壁,并且亦定义空腔103N相对于沟道区域152之偏移(offset),相似于上述当参照第一晶体管150P之讨论。结果,空腔103N之大小和形状可以独立于相应的空腔103P(图1d)之大小和形状而调整。再者,于一些例示实施例中,可以实施植入工艺或序列113以加入一种或多种植入物种113A而穿过空腔103N的暴露表面。而且,于此情况,植入工艺113可以包含一个或多个具有非0倾斜角之植入步骤,以便适当地定位该一种或多种植入物种113A。举例而言,可以形成反掺杂区(counter-doped region),可以加入掺杂剂物种或者可以加入例如为碳、氮等形式之非掺杂物种之任何其他的植入物种,以调整整体的电子特性(例如由漏电流等之观点来看),如前面参考晶体管150P所亦讨论者,其中应该了解到,由于晶体管150N和150P不同的导电率类型,关于植入参数和植入物种,植入工艺113可以不同于相应的工艺108(图1d)。
图1i示意地显示于设计用来沉积受应变之半导体材料153N之进一步选择性磊晶生长工艺114期间之半导体装置100。于另一个例示实施例中,半导体材料153N可以包括硅/碳合金,该硅/碳合金具有少于硅之晶格常数之自然常数,藉此以受拉伸应变之状态生长,其可以因此导致于邻接沟道区域152中之拉伸应变。举例而言,适当含量之碳材料可以加入于沉积环境114之硅材料中,例如一个至数个原子百分比,依于所希望之拉伸应力程度和要形成于第二晶体管150N中之漏极和源极区之其他的电子特性而定。再者,如前面所表示者,适当的掺杂剂物种(亦即,N型物种)可以于生长工艺114期间加入于材料153N中,以便避免另外的植入工艺或者至少减少于后续的植入工艺期间之离子轰击的程度,其中,该等植入工艺系用来定义用于第二晶体管150N之最后所希望之掺杂剂分布。于选择性的磊晶生长工艺114之后,为了去除掩模111和间隔件104R和盖层151C,可以实施例如根据热磷酸等之蚀刻工艺。
图1j示意地显示于上述工艺序列后之半导体装置100。此处,于装置100之进一步工艺之前可以暴露二个晶体管150P、150N之栅极电极结构151,同时,于其他的实施例中,如由虚线所示,在实施完成晶体管150P、150N之额外的工艺之前,可以设置例如二氧化硅层等之保护层115。
图1k示意地显示于更进一步前进之制造阶段之半导体装置100。如所例示,漏极和源极区域154可以形成为邻接于沟道区域152,其中漏极和源极区域154可以包括至少一部分之个别的受应变之半导体材料153P、153N。也就是说,依于装置需求,受应变之半导体材料153P、153N如所示可以完全定位于漏极和源极区域154内,该情况可以通过加入高浓度之相应掺杂剂物种和实施用来起始掺杂剂物种之扩散的退火工艺而完成。于其他情况,PN接面154P之一部分可以延伸穿过受应变之半导体材料,至少于晶体管150P、150N其中之一。应该了解到,如前面参照植入工艺108(图1d)和113(图1h)所讨论的,可以呈现额外的植入物种108A和/或110A,以便调整整体的晶体管特性(例如鉴于PN接面154P之漏电流)、掺杂梯度(例如通过减少掺杂剂物种(例如硼)的整体扩散率),如此因而可以导致掺杂剂物种之提升的局限度(confinement),以及造成用于晶体管150P(其可以表示P沟道晶体管)之漏极和源极区域154之提升的局限度。
再者,半导体装置100可以包括形成邻接栅极电极材料151A之间隔件结构156,其中间隔件结构156可以包括复数个个别的间隔件元件156A、156B,依于整体的工艺和装置需求而定。举例而言,至少于晶体管150P、150N其中之一之漏极和源极区域154可以根据额外的植入工艺而调整,于此植入工艺期间相应的间隔件元件156A、156B可以用作为植入掩模。于其他的例示实施例中,于分别形成受应变之半导体材料153P、153N之后可以实质上避免额外的植入工艺,由此亦维持于这些材料中之晶格损伤于低的水准。于此情况,可以设置间隔件结构156用作为以自行对准(self-aligned)方式形成金属硅化物区155之掩模。于一些例示实施例中,间隔件结构156可以包括高本质应力水准,其适于用来增强晶体管150P、150N其中之一者之性能。举例而言,间隔件结构156可以具有高拉伸应力水准,藉此提供晶体管150N于沟道区域152中额外的应变。另一方面,于晶体管150P中内部应力水准之负面影响可以通过例如应变诱发介电层116和117之额外的应力诱发机制而被过度补偿,其中,该应变诱发介电层116和117可以被分别设置成具有高度内部拉伸应力和压缩应力。举例而言,依于电浆辅助CVD技术之相应工艺参数而定,氮化硅可以用高度内部应力水准沉积。例如,对于拉伸氮化硅材料而言可以获得达1GPa和更高之应力水准,同时对于压缩应力氮化硅材料而言可以达成达2GPa和更高之应力水准。结果,通过于层117中提供高度内部压缩应力水准,可以补偿于晶体管150P中间隔件结构156之任何拉伸内部应力。于其他的例示实施例中,当也许希望于晶体管150P中有另外显著的性能增益时,可以建立在间隔件结构156中压缩应力水准。
可以根据下列的工艺形成如图1k中所示之半导体装置100。可以例如通过离子植入形成浅漏极和源极延伸区域(未显示)而形成漏极和源极区域154,其中材料153P、153N之植入诱发性损害可以较不明显。于其他情况,如前面之讨论,在分别生长材料153P、153N之前,相应的延伸区域也许已经形成。如果需要,可以实施进一步之植入工艺以加入额外的掺杂剂物种,和/或于用于材料153P、153N之生长工艺期间已经加入相应的掺杂剂物种。再者,可以实施适当的退火工艺,以便建立用于漏极和源极区域154之所希望之掺杂剂分布,其中相应的掺杂剂物种113A(图1h)、108A(图1d)也可以使得最终获得的电子特性能够更准确地被控制。其后,可以依照已建立完善的技术形成金属硅化物区155,其中间隔件结构156可以使用为硅化作用掩模。接着,可以沉积层116和117(其中该等层之一者或二者如以上之说明可以具有高本质应力水准),其可以根据各自之图案化体系(regine)而达成,于该图案化体系中,可以沉积层116和117之其中之一,并且可以接着从晶体管150P、150N其中之一的上方去除,接着沉积另一个层116和117,并且从晶体管150P、150N之另一者去除该沉积。
结果,可以根据选择的磊晶生长技术形成应变之半导体材料153P、153N,其中可以使用适当的掩模和蚀刻终止层(例如层104A、104B(图1a)),并可能结合加入之适当植入物种,例如物种113A(图1h)、108A(图1d),并且可以提供增强的电子特性和应变状况,亦如前面的说明。
参照图2a至图2h,将说明下述实施例,其中可以提供相较于硅有减少的自然晶格常数之受应变半导体材料于不同导电率类型的晶体管中,以获得二种类型晶体管之性能提升。
图2a示意地显示半导体装置200,该半导体装置200包括基板201、嵌入之绝缘层202和半导体层203。再者,不同导电率类型的第一晶体管250P和第二晶体管250N之栅极电极结构251形成在半导体层203之上。该栅极电极结构251可以包括栅极电极材料251A、栅极绝缘层251B、和盖层251C。关于目前所说明之组件,应用与前面参照半导体装置100说明相同的准则。再者,间隔件层204形成在栅极电极结构251上,其中间隔件层204可以具有适当的厚度用来于稍后的制造阶段调整待形成于层203中之空腔之偏移。可以根据与前面参照装置100描述之相同之工艺技术形成如图2a中所示之半导体装置200。
图2b示意地显示具有形成在栅极电极结构251之侧壁上之间隔件元件204S之半导体装置200,该半导体装置200如前面之说明可以根据已建立完善之蚀刻技术完成,其中,如果需要,可以例如通过氧化栅极电极材料251A和半导体层203的暴露表面部分而设置例如为二氧化硅形式之薄蚀刻终止衬垫(未显示)。
图2c示意地显示于进一步前进之制造阶段之半导体装置200。如所例示,装置200暴露于用来分别于晶体管250P、250N中形成空腔203P和203N之蚀刻工艺207之蚀刻环境。可以根据已建立完善之蚀刻化学作用实施蚀刻工艺207,该蚀刻化学作用呈现关于间隔件204S和盖层251C之材料之适度的高选择性,以便不会不当地去除栅极电极材料251A之材料。因为蚀刻工艺207可以同时针对晶体管250P、250N实施,因此由于没有蚀刻掩模而能够通常提升整体的工艺一致性。结果,可以提升例如关于相应空腔203P和203N之深度之遍及基板的均匀性。而且,可以避免用于个别地提供空腔203P和203N之任何额外的光学微影术步骤。于一些例示实施例中,如图2a中所示从装置200开始,用来定义间隔件204S和形成空腔203P和203N之工艺顺序可以实施成原位工艺(in situ process)(亦即,于相同的处理室中),同时于共同蚀刻工艺之各种阶段期间适当地调适蚀刻化学作用。于蚀刻工艺207后,装置200可以准备供应变诱发半导体材料之沉积,该沉积可以包括各自的清洗工艺等。
图2d示意地显示于选择性的磊晶生长工艺209期间之半导体装置200,该选择性的磊晶生长工艺209可以设计成沉积半导体合金253(例如以硅/碳合金之形式),该半导体合金253可以生长在具有拉伸应变水准之层203之硅基模板材料上。关于工艺209之相应的工艺参数,应用如前面参照半导体装置100所说明之相似之准则。应该了解到,于选择性的磊晶生长工艺209期间,由于缺少延伸之掩模区域而亦可以提升整体的工艺一致性,其中于该延伸之掩模区域也许不希望沉积材料253。再者,因为相应的漏极和源极区域可能需要不同类型的掺杂剂物种分别用于晶体管250P、250N,因此材料253也许被沉积成实质上非掺杂状态。于是,拉伸应变成分可以于晶体管250P、250N之沟道区域252中被诱发,其中该拉伸应变成分252T可朝向沟道长度方向,也就是说,朝图2d中之水平方向。
图2e示意地显示于进一步前进之制造阶段之半导体装置200。如所示,装置200可以暴露于离子轰击208中,其中可以通过适当的掩模210(例如抗蚀掩模)而掩模第二晶体管250N,同时暴露晶体管250P。于一些例示实施例中,如所示,在形成掩模210之前,也许已经去除了间隔件204S和盖层251C,同时,于其他情况,仍将由间隔件204S和盖层251C包覆栅极电极结构251(未显示)。于离子轰击208期间维持盖层251C可以减少相应的物种穿透入栅极电极材料251A中(如果该穿透被视为不适当)。于所示实施例中,离子轰击208可以在去除间隔件204S去除下实施,其中,如果希望,减少宽度之偏移间隔件(未显示)可以设置在栅极电极材料251A之侧壁上。结果,于离子轰击208期间,半导体层203和先前生长之半导体合金253之部分之结晶结构也许被严重损坏或者非晶化(amorphized)。为了此目的,可以使用任何适当的植入物种,例如氙(xenon)、锗、硅、氪(krypton)等。应该了解到,可以根据已建立完善的模拟程序、实验等,而迅速地决定用于轰击208之适当之植入参数。结果,可以松弛材料253,由此形成实质松弛的半导体合金253P。此外,依于相应的偏移间隔件(如果被设置)之宽度,邻接栅极电极材料251A之半导体材料亦也许被严重损坏或者非晶化。
图2f示意地显示于进一步前进之制造阶段之半导体装置200。如所例示,例如由氮化硅、含氮碳化硅等之应力诱发材料层218可以形成在第一和第二晶体管250P、250N之上,该第一和第二晶体管250P、250N可以具有一个或者更多GPa或者甚至更高之高本质压缩应力水准。举例而言,如前面参照层116、117(图1k)之说明,可以形成氮化硅材料以便通过选择适当的沉积参数而具有高压缩应力水准。于是,层218可以诱发适度高的压缩应力水准于前面松弛的材料253P中,和因此于晶体管250P之沟道区域252中。同样情况,于暂时状态,可以通过应力诱发层218而补偿或者过度补偿于晶体管250N之沟道区域252中之应变成分。再者,装置200须经过退火工艺219,该退火工艺219被设计来再结晶于第一晶体管250P之材料253P中并且邻接沟道区域252所产生之严重晶格损伤。举例而言,可以使用任何适当的退火技术(例如快速热退火、基于雷射或者基于闪光之退火技术),其中可以产生有效的再结晶。由于存在之高度受应力层218,因此材料253P和邻接于该材料253P之层203之任何材料可以重新以高度压缩应力状态生长,由此保留压缩应变成分于第一晶体管250P之沟道区域252中。应该了解到,由于再结晶的材料253P之应变状态,因此即使于去除了层218之后,相应的压缩应力成分252C亦可以维持于沟道区域252中。于应力盖层存在下重新生长实质上非晶化半导体材料之技术常常被称为应力记忆技术。
图2g示意地显示于去除应力诱发盖层218后之半导体装置200。应该了解到,如果希望的话,可以伴随着该应力诱发层218设置适当的蚀刻终止材料,以便强化该去除工艺。于其他情况,可以根据高度选择性之蚀刻配方而去除层218,其中,例如,可以相对硅基材料选择性地去除氮化硅材料。结果,于晶体管250N中,由于去除为层218形式之“外部”应变诱发源而可以重新建立拉伸应变成分252T,同时由于材料253P和邻接材料253P之材料之先前的应变再结晶,因此于晶体管250P中之压缩应力成分252C仍可能存在,该材料253P和邻接材料253P之材料可于工艺208(图2e)期间亦已经被非晶化。根据如图2g中所示之装置配置,可以例如通过使用已建立完善的制造技术而继续另外的工艺。
图2h示意地显示于进一步前进之制造阶段之半导体装置200,于该制造阶段漏极和源极区域254可以形成在半导体层203中,其中至少漏极和源极区域254之一部分可以包括晶体管250N中之应变诱发材料253和晶体管250P中之应变诱发材料253P。再者,相应的侧壁间隔件结构256可以设置于栅极电极结构251之侧壁上,其中,亦如前面参照装置100之说明,为了适当地定义漏极和源极区域254之侧向和垂直掺杂剂分布,间隔件结构256可以包括任何适当数目之个别间隔件元件。再者,金属硅化物区255可以形成在漏极和源极区域和栅极电极材料251A中。
可以根据已建立完善的工艺技术形成如图2h中所示之半导体装置200,其中可以根据间隔件结构256通过离子植入之方式而创造漏极和源极区域254。于晶体管250P中,一般硼可以用作为掺杂剂物种,然而其中,由于在材料253P中存在之额外的物种(例如碳),因此由于碳物种相对于硼物种之扩散干扰效应(diffusion hindering effect),可以获得提升之掺杂分布。也就是说,可以依照相应的植入步骤而定义晶体管250P处之PN接面(如由254P所表示),使得PN接面的显著长度(significant length)是位于半导体合金253P之内。于是,一旦退火该装置200,碳物种之扩散干扰效应可以提供至少于材料253P内硼物种之增加的“局限”。结果,除了压缩应力成分252C外,至少于区域253P之内之PN接面254P处之提升之掺杂分布亦可以帮助减少接面电阻,其亦可导致提升之晶体管性能。再者,于晶体管250P、250N中碳物种之存在可能导致各自的PN接面有增加的漏电流,该增加的漏电流因此可以提供有效的机制用来于晶体管250P、250N之操作期间去除在漏极和源极区域254之间累积的电荷,如前面之说明。再者,亦如前面参照装置100之说明,可以施行额外的应变诱发机制,例如通过于间隔件结构256中设置高度的应力间隔件元件和/或于晶体管250P、250N之上定位应力诱发层(例如层116、117),以便进一步提升于这些晶体管中的整体应变成分。
结果,本揭示发明提供半导体装置和形成该半导体装置之方法,其中可以根据高度有效的制造流程将应变半导体材料(例如硅/锗等于一情况和硅/碳于另一情况)加入于漏极和源极区域中,并可能结合了额外的植入物种用来进一步提升整体晶体管特性,从而可以达成结合增加应变水准之掺杂剂分布。于其他情况,可以使用具有自然晶格常数少于硅基材料之半导体材料于不同导电率类型的晶体管中,其中相应初始提供之应变成分之负面影响可能通过应用应力记忆技术而被过度补偿。结果,由于在蚀刻各自的空腔和沉积半导体合金期间提升之条件,而可以达成提升的整体工艺一致性,同时掺杂剂分布特性以及漏电流作用可以于P沟道晶体管和N沟道晶体管二者中被提升,由此亦帮助提升整体晶体管特性。
以上所揭示之特定实施例仅作例示用,因为对于熟悉该技术领域者而言,藉助此处之教示而能以不同但等效之方式修改及实施本发明是显而易见的。例如,以上所提出之工艺步骤可以不同顺序执行。再者,在此所示之架构或设计细节并非意欲设限,除了以下附加的权利要求所叙述者之外。因此,很明显的是,可改变或修改以上所揭示之特定实施例并且所有此等变化视为位在本发明之精神和范畴内。由此,本发明所要求保护者是如附加的权利要求所提出者。

Claims (15)

1.一种在第一导电类型的第一晶体管(150P)和第二导电类型的第二晶体管(150N)中形成受应变半导体材料的方法,该方法包括下列步骤: 
在该第一晶体管(150P)的第一栅极电极结构和该第二晶体管(150N)的第二栅极电极结构上方形成间隔件,该第一栅极电极结构包括第一盖层,而该第二栅极电极结构包括第二盖层; 
在该间隔件层(104A)上方形成蚀刻终止层(104B); 
在该蚀刻终止层(104B)上方形成第一掩模(105),该第一掩模(105)覆盖该第二晶体管并暴露该第一晶体管; 
在形成该第一掩模(105)后,将该蚀刻终止层(104B)从该第一晶体管(150P)上方移除; 
在将该蚀刻终止层(104B)从该第一晶体管(150P)上方移除后,在该第一栅极电极结构的侧壁从该间隔件层(104A)形成第一侧壁间隔件(104S); 
使用该第一侧壁间隔件(104S)和该第一盖层作为掩模而在该第一晶体管(150P)的第一漏极和源极区域形成第一空腔(103P); 
在该第一空腔(103P)中形成第一受应变半导体材料(153P); 
在形成第一受应变半导体材料(153P)后,在该第二栅极电极结构的侧壁从该间隔件层(104A)形成第二侧壁间隔件(104R); 
使用该第二侧壁间隔件元件(104R)和该第二盖层(151C)作为掩模而于该第二晶体管(150N)的第二漏极和源极区域中形成第二空腔(103N);以及 
在该第二空腔(103N)中形成和该第一受应变半导体材料(153P)具有不同的材料成分的第二受应变半导体材料(153N)。 
2.如权利要求1所述的方法,进一步包括引入一种或多种第一植入物种进入该第一空腔(103P)的暴露表面部分。 
3.如权利要求2所述的方法,其中,该一种或多种第一植入物种包括非掺杂物种,该非掺杂物种用来修改该第一晶体管(150P)的漏极和 源极区域的掺杂物种的扩散性质。 
4.如权利要求2所述的方法,其中,该一种或多种第一植入物种包括用来形成该第一晶体管(150P)的漏极和源极区域的掺杂剂物种。 
5.如权利要求1所述的方法,进一步包括引入一种或多种第二植入物种进入该第二空腔(103N)的暴露表面部分。 
6.如权利要求5所述的方法,其中,该一种或多种第二植入物种包括非掺杂物种。 
7.如权利要求5所述的方法,其中,该一种或多种第二植入物种包括用来形成该第二晶体管(150N)的漏极和源极区域的掺杂剂物种。 
8.如权利要求1所述的方法,进一步包括在形成该第一和第二受应变半导体材料(153P、153N)后,形成一个或多个应力诱发间隔件元件在该第一和第二栅极电极结构的侧壁上。 
9.如权利要求1所述的方法,进一步包括在该第一晶体管(150P)之上形成第一应变诱发层和在该第二晶体管(150N)之上形成第二应变诱发层,其中,该第一和第二应变诱发层在该第一和第二晶体管的沟道区域中诱发不同类型的应变。 
10.如权利要求1所述的方法,其中,该第一受应变半导体材料(153P)包括硅/锗合金,且该第二受应变半导体材料(153N)包括硅/碳合金。 
11.一种形成受应变半导体材料的方法,包括下列步骤: 
形成第一晶体管(250P)的第一栅极电极结构和第二晶体管(250N)的第二栅极电极结构,该第一栅极电极结构包括第一盖层,而该第二栅极电极结构包括第二盖层: 
在该第一和第二栅极电极结构上方形成间隔件层和蚀刻终止层; 
进行第一蚀刻序列,以在该第一栅极电极结构的侧壁从该间隔件 层(104A)形成第一侧壁间隔件(104S); 
使用该第一侧壁间隔件(104S)和该第一盖层作为掩模而在该第一晶体管(250P)的第一漏极和源极区域中形成第一空腔(203P); 
在该第一空腔(203P)中形成第一受应变的半导体材料(253); 
在形成该第一受应变的半导体材料后,从该第一栅极电极结构移除至少该第一侧壁间隔件; 
在移除该第一侧壁间隔件和该第一盖层后,从该第二晶体管上选择性地移除该蚀刻终止层; 
在从该第二晶体管上选择性地移除该蚀刻终止层后,进行第二蚀刻序列,以在该第二栅极电极结构的侧壁从该间隔件层(104A)形成第二侧壁间隔件(104R); 
使用该第二侧壁间隔件(104R)和该第二盖层作为掩模而在该第二晶体管(250N)的第二漏极和源极区域中形成第二空腔(203N);以及 
在该第二空腔(203N)中形成和该第一受应变半导体材料(253P)具有不同的材料成分的第二受应变半导体材料(253)。 
12.如权利要求11所述的方法,其中,进行第一蚀刻序列包括在该第一晶体管(250P)之上进行第一蚀刻工序以选择性地移除该蚀刻终止层,并进行第二蚀刻工序以选择性地移除形成在该第一盖层和该第一漏极和源极区域上方的该间隔件层实质上水平的部份。 
13.如权利要求11所述的方法,其中,该半导体材料包括硅/碳合金。 
14.如权利要求11所述的方法,其中,该第一和第二空腔在共同蚀刻工艺期间形成。 
15.如权利要求11所述的方法,进一步包括在该第一晶体管(250P)的金属硅化物区域上方形成第一应变诱发层和在该第二晶体管(250N)的金属硅化物区域上方形成第二应变诱发层,其中,该第一和第二应变诱发层产生不同类型的应变。 
CN200980129329.5A 2008-07-31 2009-07-31 基于硅/碳材料的pmos与nmos晶体管的性能提升 Active CN102105977B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE102008035816A DE102008035816B4 (de) 2008-07-31 2008-07-31 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
DE102008035816.9 2008-07-31
US12/473,726 US8154084B2 (en) 2008-07-31 2009-05-28 Performance enhancement in PMOS and NMOS transistors on the basis of silicon/carbon material
US12/473,726 2009-05-28
PCT/US2009/004417 WO2010014246A1 (en) 2008-07-31 2009-07-31 Performance enhancement in pmos and nmos transistors on the basis of silicon/carbon material

Publications (2)

Publication Number Publication Date
CN102105977A CN102105977A (zh) 2011-06-22
CN102105977B true CN102105977B (zh) 2014-06-18

Family

ID=41501082

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980129329.5A Active CN102105977B (zh) 2008-07-31 2009-07-31 基于硅/碳材料的pmos与nmos晶体管的性能提升

Country Status (5)

Country Link
US (3) US8154084B2 (zh)
CN (1) CN102105977B (zh)
DE (1) DE102008035816B4 (zh)
TW (2) TWI479604B (zh)
WO (1) WO2010014246A1 (zh)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
DE102008054075B4 (de) * 2008-10-31 2010-09-23 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren
JP5668277B2 (ja) 2009-06-12 2015-02-12 ソニー株式会社 半導体装置
DE102009031114B4 (de) * 2009-06-30 2011-07-07 Globalfoundries Dresden Module One LLC & CO. KG, 01109 Halbleiterelement, das in einem kristallinen Substratmaterial hergestellt ist und ein eingebettetes in-situ n-dotiertes Halbleitermaterial aufweist, und Verfahren zur Herstellung desselben
US8487354B2 (en) * 2009-08-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving selectivity of epi process
KR101669470B1 (ko) * 2009-10-14 2016-10-26 삼성전자주식회사 금속 실리사이드층을 포함하는 반도체 소자
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
DE102010029531B4 (de) 2010-05-31 2017-09-07 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Verringerung der Defektraten in PFET-Transistoren mit einem Si/Ge-Halbleitermaterial, das durch epitaktisches Wachsen hergestellt ist
US8535999B2 (en) * 2010-10-12 2013-09-17 International Business Machines Corporation Stress memorization process improvement for improved technology performance
US8552503B2 (en) * 2010-11-30 2013-10-08 United Microelectronics Corp. Strained silicon structure
TWI512946B (zh) * 2010-11-30 2015-12-11 United Microelectronics Corp 應變矽半導體結構
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) * 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US20120231591A1 (en) * 2011-03-11 2012-09-13 Globalfoundries Inc. Methods for fabricating cmos integrated circuits having metal silicide contacts
US9240350B2 (en) * 2011-05-16 2016-01-19 Varian Semiconductor Equipment Associates, Inc. Techniques for forming 3D structures
US8884341B2 (en) 2011-08-16 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits
US8476131B2 (en) * 2011-08-24 2013-07-02 Globalfoundries Inc. Methods of forming a semiconductor device with recessed source/design regions, and a semiconductor device comprising same
CN102437165A (zh) * 2011-08-29 2012-05-02 上海华力微电子有限公司 绝缘体上宽禁带材料cmos结构及其制备方法
CN102437164A (zh) * 2011-08-29 2012-05-02 上海华力微电子有限公司 绝缘体上宽禁带材料cmos结构及其制备方法
US9318345B2 (en) * 2011-10-05 2016-04-19 Globalfoundries Inc. Enhancing transistor performance by reducing exposure to oxygen plasma in a dual stress liner approach
TWI556439B (zh) * 2011-12-20 2016-11-01 英特爾股份有限公司 用於pmos整合之第iv族電晶體
US9012277B2 (en) * 2012-01-09 2015-04-21 Globalfoundries Inc. In situ doping and diffusionless annealing of embedded stressor regions in PMOS and NMOS devices
US9142642B2 (en) * 2012-02-10 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for doped SiGe source/drain stressor deposition
CN103633025B (zh) * 2012-08-21 2016-02-17 中芯国际集成电路制造(上海)有限公司 互补型金属氧化物半导体管的形成方法
CN103681332B (zh) * 2012-09-10 2016-03-16 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法、半导体器件的形成方法
CN103681846B (zh) * 2012-09-20 2017-02-08 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US8872172B2 (en) 2012-10-16 2014-10-28 International Business Machines Corporation Embedded source/drains with epitaxial oxide underlayer
CN103779216B (zh) * 2012-10-18 2016-09-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
CN103811420B (zh) * 2012-11-08 2016-12-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
JP2015032651A (ja) * 2013-08-01 2015-02-16 マイクロン テクノロジー, インク. 半導体装置
CN104465388A (zh) * 2013-09-23 2015-03-25 中芯国际集成电路制造(上海)有限公司 嵌入式源/漏极mos晶体管的制造方法
FR3014244B1 (fr) * 2013-11-29 2018-05-25 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede ameliore de realisation d'un substrat semi-conducteur contraint sur isolant
US9634140B2 (en) 2014-11-10 2017-04-25 Samsung Electronics Co., Ltd. Fabricating metal source-drain stressor in a MOS device channel
US9515071B2 (en) * 2014-12-24 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain depths
KR102282980B1 (ko) * 2015-01-05 2021-07-29 삼성전자주식회사 실리사이드를 갖는 반도체 소자 및 그 형성 방법
US9972622B2 (en) 2015-05-13 2018-05-15 Imec Vzw Method for manufacturing a CMOS device and associated device
EP3314666A4 (en) 2015-06-26 2019-02-13 INTEL Corporation SOURCE SPACER / SEMICONDUCTOR DRAIN WITH HIGH MOBILITY
US9768254B2 (en) * 2015-07-30 2017-09-19 International Business Machines Corporation Leakage-free implantation-free ETSOI transistors
CN108028272B (zh) 2015-09-25 2022-09-27 英特尔公司 具有反向掺杂的掺杂剂扩散屏障的高电子迁移率晶体管
US10446685B2 (en) 2015-09-25 2019-10-15 Intel Corporation High-electron-mobility transistors with heterojunction dopant diffusion barrier
DE112015006971T5 (de) * 2015-09-25 2018-07-05 Intel Corporation Hochbeweglichkeits-Feldeffekttransistoren mit einer/einem retrogradierten Halbleiter-Source/Drain
US9923070B2 (en) * 2015-11-25 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10957769B2 (en) 2016-06-17 2021-03-23 Intel Corporation High-mobility field effect transistors with wide bandgap fin cladding
US9911656B1 (en) * 2016-08-19 2018-03-06 International Business Machines Corporation Wimpy device by selective laser annealing
US9960084B1 (en) * 2016-11-01 2018-05-01 United Microelectronics Corp. Method for forming semiconductor device
KR102630424B1 (ko) 2017-06-15 2024-01-29 이피션트 파워 컨버젼 코퍼레이션 GaN 스페이서 두께의 향상된 균일성을 위한 선택적 및 비선택적 에칭 층을 갖는 인핸스먼트-모드 GaN 트랜지스터
US10734531B2 (en) 2017-06-22 2020-08-04 The Penn State Research Foundation Two-dimensional electrostrictive field effect transistor (2D-EFET)
US10347764B2 (en) 2017-06-30 2019-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with multi-layered source/drain regions having different dopant concentrations and manufacturing method thereof
TWI743252B (zh) 2017-06-30 2021-10-21 台灣積體電路製造股份有限公司 鰭狀場效電晶體裝置與其形成方法
KR102414957B1 (ko) 2018-06-15 2022-06-29 삼성전자주식회사 반도체 장치의 제조 방법
US10734489B2 (en) * 2018-07-31 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with metal silicide layer
CN110357631B (zh) * 2019-08-14 2021-09-17 曾杰 基于微波处理的化学气相转化工艺制备碳化硅部件的方法及设备
US11398411B2 (en) * 2020-06-19 2022-07-26 Nexchip Semiconductor Co., Ltd. Method for manufacturing semiconductor element

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1750242A (zh) * 2004-09-13 2006-03-22 中国科学院微电子研究所 一种提高pmos场效应晶体管空穴迁移率的方法

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5824584A (en) * 1997-06-16 1998-10-20 Motorola, Inc. Method of making and accessing split gate memory device
US5874328A (en) * 1997-06-30 1999-02-23 Advanced Micro Devices, Inc. Reverse CMOS method for dual isolation semiconductor device
US6686629B1 (en) * 1999-08-18 2004-02-03 International Business Machines Corporation SOI MOSFETS exhibiting reduced floating-body effects
DE60036594T2 (de) * 1999-11-15 2008-01-31 Matsushita Electric Industrial Co., Ltd., Kadoma Feldeffekt-Halbleiterbauelement
US6509241B2 (en) * 2000-12-12 2003-01-21 International Business Machines Corporation Process for fabricating an MOS device having highly-localized halo regions
US6682992B2 (en) * 2002-05-15 2004-01-27 International Business Machines Corporation Method of controlling grain size in a polysilicon layer and in semiconductor devices having polysilicon structures
US7297617B2 (en) * 2003-04-22 2007-11-20 Micron Technology, Inc. Method for controlling diffusion in semiconductor regions
DE10318283A1 (de) * 2003-04-22 2004-11-25 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer verspannten Schicht auf einem Substrat und Schichtstruktur
US7545001B2 (en) * 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7175709B2 (en) * 2004-05-17 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxy layer and method of forming the same
US7122435B2 (en) * 2004-08-02 2006-10-17 Texas Instruments Incorporated Methods, systems and structures for forming improved transistors
US7279430B2 (en) * 2004-08-17 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Process for fabricating a strained channel MOSFET device
US7405465B2 (en) * 2004-09-29 2008-07-29 Sandisk 3D Llc Deposited semiconductor structure to minimize n-type dopant diffusion and method of making
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
JP4369359B2 (ja) * 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
DE102005028919B4 (de) * 2005-06-22 2010-07-01 Infineon Technologies Ag Verfahren zum Herstellen eines elektronischen Bauelementes und elektronisches Bauelement
US20070010073A1 (en) * 2005-07-06 2007-01-11 Chien-Hao Chen Method of forming a MOS device having a strained channel region
US20070018252A1 (en) * 2005-07-21 2007-01-25 International Business Machines Corporation Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
KR101155097B1 (ko) * 2005-08-24 2012-06-11 삼성전자주식회사 반도체 장치의 제조 방법 및 그에 의해 제조된 반도체 장치
DE102005041225B3 (de) * 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren
CN100442476C (zh) * 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 用于cmos技术的应变感应迁移率增强纳米器件及工艺
DE102005057074B4 (de) * 2005-11-30 2009-07-23 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reduzieren von Kristalldefekten in verformten Transistoren durch eine geneigte Voramorphisierung
US20070196991A1 (en) * 2006-02-01 2007-08-23 Texas Instruments Incorporated Semiconductor device having a strain inducing sidewall spacer and a method of manufacture therefor
US7579248B2 (en) * 2006-02-13 2009-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Resolving pattern-loading issues of SiGe stressor
DE102006015087B4 (de) * 2006-03-31 2011-03-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Transistoren
DE102006015077B4 (de) * 2006-03-31 2010-12-23 Advanced Micro Devices, Inc., Sunnyvale Transistor mit abgesenkten Drain- und Source-Gebieten und Verfahren zur Herstellung desselben
DE102006019921B4 (de) * 2006-04-28 2010-10-28 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung des Transistors mit eingebetteter Schicht mit Zugverformung mit geringem Abstand zu der Gateelektrode
DE102006019935B4 (de) * 2006-04-28 2011-01-13 Advanced Micro Devices, Inc., Sunnyvale SOI-Transistor mit reduziertem Körperpotential und ein Verfahren zur Herstellung
DE102006019937B4 (de) * 2006-04-28 2010-11-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines SOI-Transistors mit eingebetteter Verformungsschicht und einem reduzierten Effekt des potentialfreien Körpers
US7648853B2 (en) * 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
US7919402B2 (en) * 2006-12-06 2011-04-05 Semequip, Inc. Cluster ion implantation for defect engineering
JP2008159960A (ja) * 2006-12-26 2008-07-10 Renesas Technology Corp 半導体装置の製造方法
US7432167B2 (en) * 2007-01-10 2008-10-07 United Microelectronics Corp. Method of fabricating a strained silicon channel metal oxide semiconductor transistor
US7544997B2 (en) * 2007-02-16 2009-06-09 Freescale Semiconductor, Inc. Multi-layer source/drain stressor
DE102007020039B4 (de) * 2007-04-27 2011-07-14 Infineon Technologies Austria Ag Verfahren zur Herstellung einer vertikal inhomogenen Platin- oder Goldverteilung in einem Halbleitersubstrat und in einem Halbleiterbauelement, derart hergestelltes Halbleitersubstrat und Halbleiterbauelement
JP2008294148A (ja) * 2007-05-23 2008-12-04 Toshiba Corp 半導体装置の製造方法
US7812370B2 (en) * 2007-07-25 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Tunnel field-effect transistor with narrow band-gap channel and strong gate coupling
US20090278233A1 (en) * 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
US7927989B2 (en) * 2007-07-27 2011-04-19 Freescale Semiconductor, Inc. Method for forming a transistor having gate dielectric protection and structure
US7662680B2 (en) * 2007-09-28 2010-02-16 Infineon Technologies Ag Method of producing a semiconductor element in a substrate and a semiconductor element
JP5223285B2 (ja) * 2007-10-09 2013-06-26 富士通セミコンダクター株式会社 半導体装置の製造方法
DE102007063229B4 (de) * 2007-12-31 2013-01-24 Advanced Micro Devices, Inc. Verfahren und Teststruktur zur Überwachung von Prozesseigenschaften für die Herstellung eingebetteter Halbleiterlegierungen in Drain/Source-Gebieten
DE102008006961A1 (de) * 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet
US7749847B2 (en) * 2008-02-14 2010-07-06 International Business Machines Corporation CMOS integration scheme employing a silicide electrode and a silicide-germanide alloy electrode
US8003454B2 (en) * 2008-05-22 2011-08-23 Freescale Semiconductor, Inc. CMOS process with optimized PMOS and NMOS transistor devices
DE102008030854B4 (de) * 2008-06-30 2014-03-20 Advanced Micro Devices, Inc. MOS-Transistoren mit abgesenkten Drain- und Source-Bereichen und nicht-konformen Metallsilizidgebieten und Verfahren zum Herstellen der Transistoren
DE102008035812B4 (de) * 2008-07-31 2011-12-15 Advanced Micro Devices, Inc. Flacher pn-Übergang, der durch in-situ-Dotierung während des selektiven Aufwachsens einer eingebetteten Halbleiterlegierung mittels eines zyklischen Aufwachs-Ätz-Abscheideprozesses gebildet wird
DE102008063399B4 (de) * 2008-12-31 2012-04-12 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Asymmetrischer Transistor mit einer eingebetteten Halbleiterlegierung mit einer asymmetrischen Anordnung und Verfahren zur Herstellung des Transistors
DE102009006800B4 (de) * 2009-01-30 2013-01-31 Advanced Micro Devices, Inc. Verfahren zur Herstellung von Transistoren und entsprechendes Halbleiterbauelement
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US20110034014A1 (en) * 2009-08-07 2011-02-10 Varian Semiconductor Equipment Associates, Inc. Cold implant for optimized silicide formation
US8080454B2 (en) * 2009-10-26 2011-12-20 United Microelectronics Corp. Method of fabricating CMOS transistor
US20140246696A1 (en) * 2013-03-04 2014-09-04 Globalfoundries Inc. Transistor with embedded strain-inducing material formed in cavities formed in a silicon/germanium substrate

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1750242A (zh) * 2004-09-13 2006-03-22 中国科学院微电子研究所 一种提高pmos场效应晶体管空穴迁移率的方法

Also Published As

Publication number Publication date
US20140264386A1 (en) 2014-09-18
WO2010014246A1 (en) 2010-02-04
US20100025771A1 (en) 2010-02-04
US8772878B2 (en) 2014-07-08
TWI611517B (zh) 2018-01-11
US8154084B2 (en) 2012-04-10
TW201521153A (zh) 2015-06-01
US20120129308A1 (en) 2012-05-24
DE102008035816A1 (de) 2010-02-11
TW201030902A (en) 2010-08-16
DE102008035816B4 (de) 2011-08-25
TWI479604B (zh) 2015-04-01
CN102105977A (zh) 2011-06-22

Similar Documents

Publication Publication Date Title
CN102105977B (zh) 基于硅/碳材料的pmos与nmos晶体管的性能提升
CN102362344B (zh) 有具逐渐成形构造的嵌入应变引发材料的晶体管
TWI387009B (zh) 藉由偏斜式預非晶形化而減少受應變之電晶體中之晶體缺陷之技術
CN101632167B (zh) 包括具有用于产生拉伸及压缩应变的嵌入si/ge材料的nmos及pmos晶体管的半导体器件
US8093634B2 (en) In situ formed drain and source regions in a silicon/germanium containing transistor device
JP5204762B2 (ja) ボディポテンシャルが低減したsoiトランジスタの製造方法
KR101811109B1 (ko) 스크리닝층을 갖는 깊게 공핍된 mos 트랜지스터 및 그 방법
US7632727B2 (en) Method of forming stepped recesses for embedded strain elements in a semiconductor device
CN101517741B (zh) 具有包含性能增进材料成分的受应变沟道区的晶体管
US8664056B2 (en) Transistor with embedded strain-inducing material formed in diamond-shaped cavities based on a pre-amorphization
CN101432859A (zh) 具有埋置应变层和减少的浮体效应的soi晶体管及其形成方法
TW200915434A (en) Blocking pre-amorphization of a gate electrode of a transistor
US8338274B2 (en) Transistor device comprising an embedded semiconductor alloy having an asymmetric configuration
JP2009528698A (ja) 駆動電流を減少させずにしきい値をさらに安定させるトランジスタデバイス
CN102403227A (zh) 台阶状硅锗源/漏结构的制造方法
JP2006128477A (ja) 半導体装置
JP2008218725A (ja) 半導体装置とその製造方法
US9231079B1 (en) Stress memorization techniques for transistor devices
US20170207090A1 (en) Stress memorization and defect suppression techniques for nmos transistor devices
CN107039277B (zh) 用于晶体管装置的应力记忆技术
US8951877B2 (en) Transistor with embedded strain-inducing material formed in cavities based on an amorphization process and a heat treatment
US9455196B2 (en) Method for improving fin isolation

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20210226

Address after: California, USA

Patentee after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: England group

Patentee before: GLOBALFOUNDRIES Inc.

TR01 Transfer of patent right