CN101647110A - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN101647110A
CN101647110A CN200880010423A CN200880010423A CN101647110A CN 101647110 A CN101647110 A CN 101647110A CN 200880010423 A CN200880010423 A CN 200880010423A CN 200880010423 A CN200880010423 A CN 200880010423A CN 101647110 A CN101647110 A CN 101647110A
Authority
CN
China
Prior art keywords
film
semiconductor device
doped carbon
gas
fluorine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200880010423A
Other languages
English (en)
Other versions
CN101647110B (zh
Inventor
川村刚平
野沢俊久
松冈孝明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101647110A publication Critical patent/CN101647110A/zh
Application granted granted Critical
Publication of CN101647110B publication Critical patent/CN101647110B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/951Lift-off

Abstract

一种制造半导体器件的方法,包括以下步骤:(a)形成具有碳和氟的气体的等离子体,和使用等离子体在衬底上形成具有氟掺杂碳膜的内绝缘膜;(b)在所述内绝缘膜上形成金属膜;(c)根据图案蚀刻所述金属膜以形成硬掩模;(d)通过利用所述硬掩模来蚀刻所述氟掺杂碳膜以在所述氟掺杂碳膜中形成凹陷部分;(e)在所述衬底上形成配线材料膜,以利用所述配线材料填充所述凹陷部分;(f)将在所述氟掺杂碳膜上过量部分的所述配线材料和所述硬掩模移除,以暴露出所述氟掺杂碳膜的表面;和(g)移除在所述氟掺杂膜表面上形成的氧化物。

Description

半导体器件及其制造方法
技术领域
本发明涉及包含氟掺杂碳膜的内绝缘层的半导体器件的制造方法以及包含该氟掺杂碳膜的半导体器件。
背景技术
多层配线结构用于提高半导体器件的集成。
虽然多层配线结构用于提高半导体器件的集成,但是随着信号频率增大,信号更易于传输通过内绝缘层。因此,需要减小内绝缘层的介电常数,以进一步增加半导体器件的操作速度。通常,使用SiO2膜(二氧化硅膜),SiO2的相对介电常数(以下称为介电常数)是4.0,介电常数为3.6的SiOF膜作为较小介电常数材料正在研究中。近来,作为介电常数为2.8-3.2的低介电材料的SiOCH膜已经用于实际应用中。因此,内绝缘层的研究与开发潮流是基于掺杂有氟或碳的硅(Si)为主的材料的发展技术。
为了这种需求,正在研究氟掺杂碳膜(碳氟膜),以用作具有低介电常数的材料,其相对于常规材料成本较低。氟掺杂碳膜的介电常数可减小至约1.8,因此人们相信氟掺杂碳膜是作为可应用于高速操作器件的内绝缘层的有前景的膜。另一方面,氟掺杂碳膜包含碳作为主要元素,因此氟掺杂碳膜的特性与常规膜的特性显著不同。例如,与包含硅作为主要元素的膜相比,氟掺杂碳膜的劣势包括:耐热性较差、机械强度低和对等离子体蚀刻工艺的抗蚀刻性低。
由此,应用于半导体器件的由氟掺杂碳膜形成的多层结构和制造方法与由硅作为主要元素构成的常规膜的那些不同。以下简述使用氟掺杂碳膜(F-掺杂碳膜)作为内绝缘层的配线图案形成工艺、双镶嵌工艺。
图8(a)显示在形成于衬底100上的下部电路层101上形成上部电路层的工艺过程中的工艺步骤。包括F-掺杂碳膜102、铜(Cu)配线层103、由例如SiCN(硅碳氮化物)制成的覆膜104、阻挡金属膜105、和用于防止配线材料(在这种情况下为Cu)扩散进入F-掺杂碳层102的阻挡膜106。在下部电路层101上,从底部至顶部形成如下多层薄膜:F-掺杂碳膜112、覆膜114、由例如Ti(钛)制成的金属膜117、牺牲膜118和光刻胶掩模119。F-掺杂碳膜112(102)通过使衬底100暴露于由处理气体所产生的等离子体气氛而形成,所述处理气体包括具有环结构的C5F8气体,如氟化碳气体。
在形成图8(a)中显示的多层结构之后,对如图8(b)所示的F-掺杂碳膜112实施形成凹陷部分122的工艺。该工艺包括:使用光刻胶掩模119形成牺牲膜118,使用牺牲膜118形成用于F-掺杂碳膜112的通孔120,和使用通过图案化金属膜117形成的硬掩模在F-掺杂碳膜112中形成沟槽121(用于配线材料的沟槽)。然后,如图8(c)所示,形成阻挡金属膜115来覆盖凹陷部分122的内部和配线层103的暴露表面,随后采用配线材料铜113填充凹陷部分122。然后,通过CMP(化学机械抛光)移除过量的铜113和金属膜117,如图8(d)所示。
在CMP工艺中,为保护F-掺杂碳膜112免受直接机械力,如图8(d)所示,停止CMP工艺以保留部分覆膜114。此外,通过氧化在配线层113的表面上形成氧化膜123。如果氧化膜123保留在其上,配线层113的电阻增加。为了使得氧化膜123还原(去氧),如图8(e)所示,通过由激发的氨(NH3)气体产生的等离子体(即NH3等离子体)辐照衬底100。F-掺杂碳膜112如果暴露于NH3等离子体则受到蚀刻。然而,如上所述,盖膜114保留在表面上,使得盖膜114作为防护膜,因为没有暴露膜112,从而使得NH3等离子体不会蚀刻F-掺杂碳膜112。然后,在包括配线层113表面的整个衬底100上形成阻挡膜116,完成了上侧电路层的形成工艺(图8(f))。随后通过后续类似工艺,制造半导体器件的多层结构。
如上所述,对于CMP工艺和NF3等离子体辐照,盖膜114作为F-掺杂碳膜112的防护膜,并还作为粘合层以粘合金属掩模、金属膜117和F-掺杂碳膜112。因此,如下文所示的对比例2-1实验结果那样,当由Ti制成的金属膜直接形成于由环结构C5F8气体获得的F-掺杂碳膜上时,金属膜117层离。因此,就提供由SiCN、SiC或SiN制成的中间覆膜而言,F-掺杂碳膜112和金属膜117的粘附性得到保持。
虽然覆膜114不用于常规的硅相关材料的内绝缘膜112,但是F-掺杂碳膜112由于其低介电常数性能的优势而近来对其产生需要。另一方面,随着半导体器件变得更薄,需要薄的作为内绝缘膜的F-掺杂碳膜112。覆膜114的材料、SiCN(介电常数:约5)、SiC(介电常数:约7)或SiN(介电常数:约8)具有相对高的介电常数。当F-掺杂碳膜用作内绝缘膜112的一部分时,在内绝缘膜112变薄时高介电常数的覆膜114变为主导。即,由于覆膜114的高介电常数,内绝缘膜112的有效介电常数随着膜厚度减小而增加,因此,即使使用具有1.8的低介电常数的F-掺杂碳膜,F-掺杂碳膜的优势变得较少有效。
此外,覆膜114用于补偿F-掺杂碳膜在耐热性和强度方面的劣势。但是覆膜114不是制造器件必不可少的。由此,可认为覆膜114形成是附加的薄膜形成工艺。此外,作为硬掩模的金属膜117是后续工艺必需的,因此需要选择蚀刻气体同时保持对于作为硬掩模的金属膜117的蚀刻速率的选择性。此外,在某些情况下,可需要净化处理工艺来移除蚀刻覆膜114时产生的残留物质。因此,对于F-掺杂碳膜,可认为工艺步骤数增加,成为生产能力降低的因素,并且可能需要辅助设备用于实施该工艺。
另一方面,在专利对比文件1中描述了关于F-掺杂碳膜的一个主题。然而,没有关于上述问题的主题。
专利文献1日本公开专利申请2005-302811。
发明内容
本发明涉及内绝缘膜材料,更具体地涉及具有低介电常数的氟掺杂碳膜。本发明可提供利用氟掺杂碳膜优势的半导体器件和半导体器件制造的方法。此外,本发明可提供能够简化半导体器件制造工艺步骤的制造方法。
解决问题的方法
根据本发明的一个方面,提供一种制造半导体器件的方法,包括以下步骤:
(a)形成具有碳和氟的气体的等离子体,和使用该等离子体在衬底上形成具有氟掺杂碳膜的内绝缘膜;
(b)在内绝缘膜上形成金属膜;
(c)根据图案蚀刻金属膜以形成硬掩模;
(d)通过使用硬掩模来蚀刻氟掺杂碳膜以在氟掺杂碳膜中形成凹陷部分;
(e)在衬底上形成配线材料膜,以利用配线材料填充凹陷部分;
(f)将在氟掺杂碳膜上过量部分的配线材料和硬掩模移除,以暴露氟掺杂碳膜的表面;和
(g)移除在氟掺杂碳膜表面上形成的氧化物。
此外,本发明的另一个方面提供一种半导体器件,包括:
下部电路层,具有包含氟掺杂碳膜的内绝缘膜以及在所述内绝缘膜中填充的配线材料;
阻挡膜,在所述下部电路层上直接形成以抑制所述配线材料扩散;
在所述阻挡膜上形成的具有氟掺杂碳膜的另一内绝缘膜;和
通过供给另一配线材料以填充上述内绝缘膜而提供的上部电路层。
发明效果
根据本发明的一个方面,位于上层配线和下层配线之间的内绝缘膜的有效介电常数的增加得到抑制,使得具有低介电常数的氟掺杂碳膜的优势应用于具有用作内绝缘膜的氟掺杂碳膜的半导体器件。在常规的情况下,具有相对高介电常数的覆膜例如SiCN膜用于接合用作硬掩模的金属膜和氟掺杂碳膜。在氟掺杂碳膜双层之间提供覆膜,用于在抛光配线材料和后续工艺时来保护膜。此外,覆膜的膜形成工艺和覆膜的后续蚀刻以及湿处理不是必需,使得可简化半导体制造,这与常规的情况不同。
附图说明
图1是显示根据本发明的半导体器件的制造方法的一个实施例的示意图;
图2是显示半导体器件的制造方法的一个实施例的示意图;
图3是显示半导体器件的制造方法的一个实施例的示意图;
图4是显示用于该实施方案中的C5F8气体的示意图;
图5是显示用于半导体器件的半导体制造方法的成膜设备的截面视图的示意图;
图6是显示根据实验1的实验结果特性的示意图;
图7是实施实验3之后的晶片的TEM照片示意图;和
图8是半导体器件的截面视图的示意图,表示使用具有常规环结构的C5F8气体来形成CF膜的制造工艺。
实施发明的最优方式
[第一实施方案]
参考图1,说明本发明涉及的半导体器件的制造工艺的一个实施方案。图1(a)显示在作为衬底的晶片W上形成的n层(下侧)电路层。电路层包括由例如Cu制成的金属线61,提供金属线61以填充作为内绝缘膜的氟掺杂碳膜(以下写作CF膜)60。在CF膜60和配线61之间存在阻挡金属膜62以防止配线61材料扩散进入CF膜60,其中阻挡金属膜62由多层形成,该多层从底部(CF膜60侧)开始按照氮化钛(TiN)膜和钽(Ta)膜的顺序形成。此外,由SiC等制成的阻挡膜63在该电路层上形成以防止金属扩散进入CF膜70,其为从配线61开始计的第(n+1)层。在以下说明中,第n层记作下侧,第(n+1)层记作上层。
首先,如图1(b)所示,在CF膜70的表面上形成阻挡膜63。如下所详述的那样,通过提供使用作为薄膜形成气体的C5F8气体的等离子体而在晶片W上形成CF膜70,该薄膜形成气体是具有直链结构的包含碳和氟的化合物气体。具有直链的C5F8气体包含碳碳原子之间的三键。例如,图4(a)显示包含三键的1,1,1,2,2,5,5-八氟-1-戊炔气体。此外,可使用具有碳-碳化学双键的1,1,2,3,4,5,5,5-八氟-1,3-戊炔气体等,如图4(b)所示。在该情况下,与通过使用具有环结构的C5F8气体形成的膜相比,CF膜的机械强度和耐热性增加,这是因为当形成具有三键的C5F8气体的等离子体时,具有网状结构的离解物质易于产生并结合到CF膜70中。
此外,通过使用溅射方法等在CF膜70上形成例如Ti(钛)的金属膜71,其中当蚀刻CF膜70时,金属膜71用作硬掩模。因为CF膜70具有高的热稳定性,所以Ti膜和CF膜70之间的粘附性能得到改善。实例在下文中表示为实验实施例2-1和实验实施例3。因此,CF膜70和金属膜71保持它们的粘附性,故而由SiCN(硅碳氮化物)膜等制成的覆膜不是必需的。此外,金属膜71不限于Ti膜;Ta(钽)、W(钨)、Al(铝)等也可用作金属膜。
然后,通过光刻胶掩模74在金属膜71上形成沟槽图案73(待填充配线的沟槽),如图1(c)所示,并且使用光刻胶掩模74蚀刻掉金属膜71,使得形成如图1(d)所示的沟槽。在蚀刻掉金属膜71以形成硬掩模图案时,纯金属用作金属膜71以获得在下垫CF膜70和金属膜71(硬掩模)之间的高蚀刻选择性(例如100以上)。然后,使用金属膜71的硬掩模,转换蚀刻气体以蚀刻CF膜70,形成沟槽80(图1(e))。当CF膜70被蚀刻时除去光刻胶掩模74,而金属膜(硬掩模)71保留在晶片W的顶层上。
此外,通过旋涂方法形成SiOC(硅氧碳酸盐)相关膜、牺牲膜75,用于覆盖沟槽80。在牺牲膜75的表面上形成光刻胶掩模77,用于形成通孔76(图2(a))。光刻胶掩模77用于蚀刻在底部暴露的牺牲膜75、CF膜70和阻挡膜63,从而形成通孔81(图2(b)至图2(c))。然后,通过化学处理移除牺牲膜75(图2(d)),因此在CF膜70中形成包含沟槽80和通孔81的凹陷部分82。
通过使用溅射方法等形成与阻挡金属62具有类似结构的阻挡金属78,以覆盖金属膜71的全部表面和凹陷部分82的内表面。然后,通过溅射方法等形成由配线材料例如Cu制成的上部配线79(图2(e))。
然后,通过CMP(化学机械抛光)方法移除过量部分的Cu(配线材料)、阻挡膜78和金属膜71。因此,在CF膜70中形成上部配线79。该CMP工艺通过采用由树脂材料例如聚氨酯制成的垫来化学机械抛光晶片W而实施,其中在垫上提供酸性或碱性浆料,垫和晶片W相互挤压和旋转,使得晶片W的表面得到抛光。在抛光工艺中,与具有覆膜的常规结构不同,在CF膜70上没有形成覆膜。因此,在抛光工艺的后期,CF膜70的表面直接被抛光。然而,如上所述,CF膜70具有足够的机械强度,使得不会对其器件特性产生有害影响。在对Cu(配线79)的表面的抛光工艺期间,通过摩擦热形成氧化物层79a(图2(f))。由于当氧化物层79a存在于配线79和后续上部(n+2)配线之间时,配线电阻增加,所以通过使用还原处理(图3(a))等移除氧化物层79a。还原处理通过由面向工艺腔室11中台上的晶片W的喷头来提供有机酸例如甲酸蒸气而实施。使用有机酸的还原处理可通过使用提供到晶片W上的有机酸溶液而不是蒸气来实施,有机酸可以是甲酸之外的羧酸(carbonxylic acid)。
由于还原处理,氧化物层79a变为金属(Cu)。另一方面,由于这种有机酸无法降解或者蚀刻CF膜70,所以可实施氧化物层79a的还原处理而不影响CF膜70。
对于还原处理,可通过将晶片W置于还原气氛例如氢气氛中而实施退火工艺(热处理)。用于该实施方案的CF膜70具有良好耐热性,因此当实施这种退火时阻止F(氟)气体等的气体解吸。此外,通过CVD(化学气相沉积)方法在晶片W表面上形成阻挡膜83,以防止金属从配线79扩散进入(n+2)上部CF膜(未显示)。通过重复以上实施的一系列工艺步骤形成预定层数目的电路。
根据上述实施方案,由于CF膜70通过使用具有直链结构的C5F8形成,所以CF膜70具有良好机械强度。在供给Cu(配线79)之后实施的CMP工艺期间,即使当抛光晶片W的表面直至暴露CF膜70时,CF膜70也未受损伤。
在铜氧化物(氧化物膜层79a)的CMP工艺之后,通过使用甲酸实施还原处理,甲酸不会蚀刻CF膜70。因此,可实施氧化物层79a的还原处理同时暴露CF膜70的表面。此外,通过使用具有直链结构的C5F8气体形成的CF膜70具有高的耐热性。例如,当CF膜70受热至400℃时,气体例如F气体等的解吸不会发生,然后当实施氢烧结处理作为后续工艺时,阻止了由于F气体引起的金属配线腐蚀。
因此,该实施方案在用于形成配线的双镶嵌工艺中不需要覆膜,而用于形成配线的常规双镶嵌工艺需要履膜。因此,在上部CF膜70和下部CF膜60之间不提供具有高介电常数材料的覆膜。在上部配线79和下部配线61之间形成的所有内绝缘膜(表示为CF膜70、阻挡膜63等)的有效介电常数的不增加,因此实现CF膜70(具有低的介电常数)的优势。特别地,当内绝缘膜变得更薄时,高介电常数膜的效果变为所有内绝缘膜的有效介电常数的主导因素。因此本发明的半导体器件是极其有用的。
此外,不需要覆膜(104和114),使得无需覆膜形成工艺、覆膜蚀刻工艺和湿处理工艺来净化晶片表面,这使得工艺简单并改善制造生产能力。作为另外的效果,无需覆膜和金属膜71的选择蚀刻,因此无需研究合适蚀刻条件(蚀刻气体),这为金属膜71的膜材料选择提供了灵活性。而且,由于金属膜71和CF膜70之间的蚀刻选择性足够大,所以形成具有合适形状(高的深宽比)的凹陷部分82变得简单。
作为硬掩模的金属膜71不限于Ti,也可使用Ta、W、Al及其他材料。通过使用在膜温度小于400℃的情况下的热CVD方法和溅射方法等形成所述膜。
此外,本发明可包括如下制造方法:其中在CF膜70和金属膜71之间形成SiCN膜等,通过CMP工艺采用金属膜71的移除工艺将SiCN膜移除,并且在下部CF膜60和上部CF膜70之间没有覆膜104。
然后,参考图5简述用于形成膜70的设备的一个实施例。成膜设备10包括:加工容器11、包括温度控制单元的台12、和连接至台12的用于提供频率为13.56MHz等的高频功率的高频电源13。在加工容器11上部中提供由氧化铝等制成具有近似圆形的面对台12的第一供气部14。
面对台12的第一供气部14的平面包含大量供气孔15。第一供气孔15连接至供气源,通过第一供气路径17和气体流动路径16提供例如氩(Ar)气体、惰性气体等,以产生等离子体。在台12和第一供气部14之间提供由导电材料制成的具有近似圆形的第二供气部18。面对台12的第二供气部18的平面包含大量第二供气孔19。气体流动路径20在与第二供气孔19连通的第二供气部18中形成,并通过第二供气路径21连接至源气体例如具有直链结构的C5F8气体等。此外,形成大量开口22以穿透第二供气部18。形成开口22以使得在第二供气部18的上部中产生的等离子体能够流入第二供气部18的下部。例如,开口22在邻近的第二供气孔19之间形成。排气口26a在加工容器11的下部水平处提供并通过排气管26连接至真空排气系统27。
在第一供气部14的上部水平处,通过由介电材料例如氧化铝制成的盖板28来提供天线部分30。天线部分30包括具有近似圆形的天线主体31和在天线主体31底部中形成的平面天线材料(狭缝板)32。平面天线元件32包含大量狭缝(未显示)以产生圆极化波。天线主体31和平面天线元件32由导电材料制成并具有附带平坦形开口的圆形波导管。
在天线主体31和平面天线元件32之间提供由低损耗介电材料例如氧化硅或氮化硅制成的相位延迟板33。提供相位延迟板33以缩短波导管中微波的波长。
天线部分30通过同轴波导35连接至微波发生装置34,其中微波装置34产生频率为约2.45GHz至约8.4GHz的微波。此外,同轴波导管35的外管35A连接至天线主体31,同轴波导管35的芯导体35B通过在相位延迟板33中形成的开口部分连接至平面天线元件32。
以下,描述使用上述成膜设备10来形成CF膜70。首先将晶片W移入加工容器11并安置在台12上。通过真空排气系统27对加工容器11进行抽空,并分别经由第一供气路径17和第二供气路径21,以预定流量将例如Ar气体和具有直链结构的C5F8气体各自引入加工容器11。以预定值来提供加工容器11中的真空水平,并通过热控制系统加热安置在台12上的晶片W。
微波发生装置34从平面天线元件32的狭缝(未显示)辐射频率为2.45GHz的高频波(微波),通过盖板28和第一供气部14朝向下部的加工空间。
Ar气体由微波激发,从而在第一供气部14和第二供气部18之间的空间中形成Ar等离子体。经由第二供气路径21引入到台12上的C5F8气体,通过与经由开口22从加工空间上侧流动的Ar等离子体撞击,产生C5F8气体的活性物质。通过在晶片W上提供活性物质而在晶片W上形成CF膜70。
[实施方案]
(实验性实施例1)
当硅化合物相关膜例如SiCN膜用作上述覆层时,其保持作为内绝缘膜的一部分。以下研究对内绝缘膜中SiCN膜的介电常数的影响。在实验中,形成不同的结构。CF膜的厚度从100nm以25nm的步幅变化至375nm,每个所述膜均形成在20nm厚的SiCN膜上。另外的20nm厚的SiCN膜形成在CF膜上以夹持CF膜。该结构膜的介电常数通过使用汞探针系统进行测量。
(实验结果)
测量结果示于图6。可看出:结构化的内绝缘膜的介电常数随着CF膜的膜厚度减小而增加。这表明当内绝缘膜的总厚度减小时,内绝缘膜的介电常数显著增加,这变得不可忽略。
(实验性实施例2)
(实验性实施例2-1)
通过使用成膜设备10在晶片W上形成CF膜。C5F8气体用作具有直链结构的源气体,通过使用溅射方法在CF膜上形成Ti膜。在真空气氛中在400℃下实施热处理60分钟。
(对比例2-1)
以和实验性实施例2-1相同的方法,使用C5F8气体作为具有环结构的源气体在晶片W上形成另一CF膜,并且在CF膜上形成Ti膜。
(对比例2-2)
以和比较例2-1相同的方法,在晶片W上形成另一CF膜。另外,在CF膜上形成Ta膜,在350℃下实施热处理30分钟。
(实验结果)
在实验性实施例2-1中,既没有Ti膜的层离,在Ti膜和CF膜之间也没有形成空隙,因此形成的膜显示出均匀的颜色并具有良好的状态。对于对比例2-1,成膜之后存在Ti膜的层离。对于对比例2-2,成膜之后未观察到Ta膜的层离,但是热处理之后在晶片W上到处都观察到了Ta膜的层离。可认为对比例2-1和2-2之间不同的结果是由于Ta膜和Ti膜反应性能之间的差异所引起。
上述结果表明,使用具有直链结构的C5F8气体的成膜不导致气体解吸,这是由于热处理之后未观察到空隙。由上述结果可预期,当CF膜在LSI(大规模集成电路)的制造工艺中用于形成晶体管时,不会发生F气体的解吸,其中氢烧结在约400℃下实施以减小晶体管栅极氧化物膜的界面状态密度,因此预期不会发生配线材料由于F气体而导致的腐蚀。另一方面,使用具有环结构的C5F8气体形成的CF膜,通过由于热处理导致的金属和解吸气体之间的反应,显示出金属膜的层离,与通过使用具有直链结构的C5F8形成的CF膜相比具有较低的耐热性。
(实验性实施例3)
成膜设备10用于使用具有直链结构的C5F8气体在晶片W上形成CF膜,随后形成3nm厚的Ti膜、7nm厚的Ta膜和15nm厚的Cu膜,并随后在400℃下热处理60分钟。然后,通过TEM(透射电子显微镜)观察拍摄样品的截面图的照片。结果示于图7。在图中可看出:没有发生任何变化例如每一个这些膜之间的膜层离和这些膜之间的元素扩散。因此,认为CF膜具有足够耐热性并可毫不困难地在CF膜上直接形成金属膜例如Ti膜等。
本发明基于2007年4月10日提交的日本优先权申请2007-103313和2007年4月10日提交的美国专利申请60/922593,通过引用将其全部内容并入本文。

Claims (10)

1.一种制造半导体器件的方法,包括以下步骤:
(a)使用由具有碳和氟的气体所形成的等离子体,在衬底上形成包含氟掺杂碳膜的内绝缘膜;
(b)在所述内绝缘膜上形成金属膜;
(c)蚀刻所述金属膜以形成硬掩模;
(d)通过使用所述硬掩模来蚀刻所述氟掺杂碳膜以在所述氟掺杂碳膜中形成凹陷部分;
(e)在所述衬底上形成配线材料膜,以利用所述配线材料填充所述凹陷部分;
(f)将所述氟掺杂碳膜上的过量部分的所述配线材料和所述硬掩模移除,以暴露所述氟掺杂碳膜的表面;和
(g)移除在所述氟掺杂膜表面上形成的氧化物。
2.根据权利要求1所述的制造半导体器件的方法,其中所述步骤(b)在所述内绝缘膜上直接形成金属膜。
3.根据权利要求1所述的制造半导体器件的方法,其中所述具有碳和氟的气体是具有直链结构的C5F8气体。
4.根据权利要求3所述的制造半导体器件的方法,其中所述C5F8气体包含三键。
5.根据权利要求1所述的制造半导体器件的方法,其中所述金属是Ti、Ta、W和Al中的一种。
6.根据权利要求1所述的制造半导体器件的方法,还包括以下步骤:
在所述硬掩模上形成牺牲膜;和
使用所述牺牲膜作为掩模来蚀刻所述氟掺杂碳膜,使得在所述氟掺杂碳膜中形成凹陷部分。
7.根据权利要求1所述的制造半导体器件的方法,其中所述步骤(f)通过抛光所述配线材料表面的工艺来提供。
8.根据权利要求1所述的制造半导体器件的方法,其中所述步骤(g)通过在所述衬底的表面上提供有机酸的液体或所述有机酸的蒸气来实施。
9.根据权利要求1所述的制造半导体器件的方法,其中所述步骤(g)通过在还原气体气氛中对所述衬底进行退火来提供。
10.一种半导体器件,包括:
下部电路层,其具有包含氟掺杂碳膜的内绝缘膜以及在所述内绝缘膜中填充的配线材料;
阻挡膜,在所述下部电路层上直接形成以抑制所述配线材料扩散;
在所述阻挡膜上形成的具有氟掺杂碳膜的另一内绝缘膜;和
通过供给另一配线材料以填充上述内绝缘膜而提供的上部电路层。
CN2008800104234A 2007-04-10 2008-03-28 半导体器件及其制造方法 Expired - Fee Related CN101647110B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US92259307P 2007-04-10 2007-04-10
JP103313/2007 2007-04-10
JP2007103313A JP5261964B2 (ja) 2007-04-10 2007-04-10 半導体装置の製造方法
US60/922,593 2007-04-10
PCT/JP2008/056745 WO2008126776A1 (en) 2007-04-10 2008-03-28 Fabrication method of a semiconductor device and a semiconductor device

Publications (2)

Publication Number Publication Date
CN101647110A true CN101647110A (zh) 2010-02-10
CN101647110B CN101647110B (zh) 2011-12-14

Family

ID=39863876

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800104234A Expired - Fee Related CN101647110B (zh) 2007-04-10 2008-03-28 半导体器件及其制造方法

Country Status (6)

Country Link
US (1) US8124523B2 (zh)
JP (1) JP5261964B2 (zh)
KR (1) KR20100003353A (zh)
CN (1) CN101647110B (zh)
TW (1) TW200908219A (zh)
WO (1) WO2008126776A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107230659A (zh) * 2016-03-23 2017-10-03 三星电子株式会社 制造半导体器件的方法
CN109844906A (zh) * 2016-10-01 2019-06-04 应用材料公司 用于增强性蚀刻和选择性移除的硬掩模膜的化学修饰

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5261964B2 (ja) * 2007-04-10 2013-08-14 東京エレクトロン株式会社 半導体装置の製造方法
JP5554951B2 (ja) * 2008-09-11 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5186663B2 (ja) * 2008-12-19 2013-04-17 富士通株式会社 微細構造の製造方法および回路基盤の製造方法
US8680629B2 (en) * 2009-06-03 2014-03-25 International Business Machines Corporation Control of flatband voltages and threshold voltages in high-k metal gate stacks and structures for CMOS devices
US8274116B2 (en) 2009-11-16 2012-09-25 International Business Machines Corporation Control of threshold voltages in high-k metal gate stack and structures for CMOS devices
JP5600447B2 (ja) * 2010-03-05 2014-10-01 株式会社日立ハイテクノロジーズ プラズマエッチング方法
JP5700513B2 (ja) 2010-10-08 2015-04-15 国立大学法人東北大学 半導体装置の製造方法および半導体装置
US8415805B2 (en) * 2010-12-17 2013-04-09 Skyworks Solutions, Inc. Etched wafers and methods of forming the same
WO2013125647A1 (ja) * 2012-02-22 2013-08-29 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3400918B2 (ja) * 1996-11-14 2003-04-28 東京エレクトロン株式会社 半導体装置の製造方法
DE69836146T2 (de) * 1997-11-27 2007-08-23 Tokyo Electron Ltd. Plasma-abscheidung von filmen
JP4355039B2 (ja) * 1998-05-07 2009-10-28 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
JP2000133710A (ja) * 1998-10-26 2000-05-12 Tokyo Electron Ltd 半導体装置及びその製造方法
TW476134B (en) * 2000-02-22 2002-02-11 Ibm Method for forming dual-layer low dielectric barrier for interconnects and device formed
US20010030169A1 (en) * 2000-04-13 2001-10-18 Hideo Kitagawa Method of etching organic film and method of producing element
JP2001358218A (ja) * 2000-04-13 2001-12-26 Canon Inc 有機膜のエッチング方法及び素子の製造方法
US6737728B1 (en) * 2000-10-12 2004-05-18 Intel Corporation On-chip decoupling capacitor and method of making same
JP4698813B2 (ja) * 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6620727B2 (en) * 2001-08-23 2003-09-16 Texas Instruments Incorporated Aluminum hardmask for dielectric etch
JP2004311625A (ja) * 2003-04-04 2004-11-04 Tadahiro Omi F添加カーボン膜の形成方法
KR100568425B1 (ko) * 2003-06-30 2006-04-05 주식회사 하이닉스반도체 플래시 소자의 비트라인 형성 방법
JP4413556B2 (ja) * 2003-08-15 2010-02-10 東京エレクトロン株式会社 成膜方法、半導体装置の製造方法
WO2005069367A1 (ja) * 2004-01-13 2005-07-28 Tokyo Electron Limited 半導体装置の製造方法および成膜システム
JP4194521B2 (ja) 2004-04-07 2008-12-10 東京エレクトロン株式会社 半導体装置の製造方法
JP4843274B2 (ja) * 2004-08-25 2011-12-21 東京エレクトロン株式会社 プラズマ成膜方法
KR20060026223A (ko) 2004-09-20 2006-03-23 삼성전자주식회사 듀얼 다마신 배선의 제조 방법 및 듀얼 다마신 배선 구조
JP2006135303A (ja) * 2004-10-05 2006-05-25 Tokyo Electron Ltd プラズマ成膜方法及びプラズマ成膜装置、並びにプラズマ成膜装置に用いられる記憶媒体
JP2006278635A (ja) * 2005-03-29 2006-10-12 Fujitsu Ltd 半導体装置の製造方法及びその製造に用いられる成膜装置
JP2007027177A (ja) * 2005-07-12 2007-02-01 Sony Corp 半導体装置の製造方法
JP5119609B2 (ja) * 2006-05-25 2013-01-16 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体、並びに半導体装置
JP5261964B2 (ja) * 2007-04-10 2013-08-14 東京エレクトロン株式会社 半導体装置の製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107230659A (zh) * 2016-03-23 2017-10-03 三星电子株式会社 制造半导体器件的方法
CN109844906A (zh) * 2016-10-01 2019-06-04 应用材料公司 用于增强性蚀刻和选择性移除的硬掩模膜的化学修饰
CN109844906B (zh) * 2016-10-01 2023-05-16 应用材料公司 用于增强性蚀刻和选择性移除的硬掩模膜的化学修饰

Also Published As

Publication number Publication date
US20100025856A1 (en) 2010-02-04
CN101647110B (zh) 2011-12-14
WO2008126776A1 (en) 2008-10-23
US8124523B2 (en) 2012-02-28
JP2008262996A (ja) 2008-10-30
JP5261964B2 (ja) 2013-08-14
TW200908219A (en) 2009-02-16
KR20100003353A (ko) 2010-01-08

Similar Documents

Publication Publication Date Title
CN101647110B (zh) 半导体器件及其制造方法
US7071107B2 (en) Method for manufacturing a semiconductor device
US7435685B2 (en) Method of forming a low-K dual damascene interconnect structure
KR101198107B1 (ko) 비결정 탄소막, 반도체 장치, 성막 방법, 성막 장치 및 기억 매체
US7129175B2 (en) Method of manufacturing semiconductor device
JP5154140B2 (ja) 半導体装置およびその製造方法
US20090104774A1 (en) Method of manufacturing a semiconductor device
JP2011009769A (ja) 半導体デバイスおよびその構造体の製造方法
KR20130113345A (ko) 리소그래픽 마스크 응용예들을 위한 붕소-농후 필름들의 가공
KR100817350B1 (ko) 규소 탄화물 박막의 이중 플라즈마 처리
US20200006126A1 (en) Interlayer dielectric layer
JP2001223269A (ja) 半導体装置およびその製造方法
JP5082411B2 (ja) 成膜方法
JP5119606B2 (ja) 半導体装置及び半導体装置の製造方法
JPH11219950A (ja) 半導体集積回路の製造方法並びにその製造装置
KR20220166338A (ko) 유전체 재료 충전 및 처리 방법들
JPH1197533A (ja) 半導体集積回路及びその製造方法
JP2004200203A (ja) 半導体装置及びその製造方法
JP3184177B2 (ja) 層間絶縁膜の形成方法、半導体製造装置、及び半導体装置
JPH11220024A (ja) 半導体集積回路の製造方法及びその製造装置
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
JPH11186258A (ja) 半導体集積回路及びその製造方法並びにその製造装置
JP4643975B2 (ja) 半導体装置の製造方法
JP2006059848A (ja) レジスト除去方法及び半導体装置の製造方法
TW200301953A (en) Method for forming multi-layer metal line of semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20111214

Termination date: 20140328