KR20130113345A - 리소그래픽 마스크 응용예들을 위한 붕소-농후 필름들의 가공 - Google Patents

리소그래픽 마스크 응용예들을 위한 붕소-농후 필름들의 가공 Download PDF

Info

Publication number
KR20130113345A
KR20130113345A KR1020127033694A KR20127033694A KR20130113345A KR 20130113345 A KR20130113345 A KR 20130113345A KR 1020127033694 A KR1020127033694 A KR 1020127033694A KR 20127033694 A KR20127033694 A KR 20127033694A KR 20130113345 A KR20130113345 A KR 20130113345A
Authority
KR
South Korea
Prior art keywords
boron
layer
rich
substrate processing
processing method
Prior art date
Application number
KR1020127033694A
Other languages
English (en)
Inventor
빅터 응우옌
이 첸
미하엘라 발씨누
이사벨리타 로플록스
리-쿤 시아
데릭 알. 위티
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130113345A publication Critical patent/KR20130113345A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

붕소-농후 필름을 가진 기판을 프로세싱하기 위한 방법들이 제공된다. 붕소-농후 물질로 이루어진 패터닝된 층이 기판 상에 증착되며, 에칭 스탑으로 사용될 수 있다. 화학적 조성을 변화시킴으로써, 붕소-농후 물질의 선택도와 에칭률이 서로 다른 에칭 화학물들에 대해 최적화될 수 있다. 붕소-농후 물질들이 층 스택 기판 위에 다층으로 증착될 수 있으며, 패턴으로 에칭될 수 있다. 그리고, 노출된 층 스택은 다중의 에칭 화학물들에 의해 에칭될 수 있다. 각각의 붕소-농후 층들은 다중의 에칭 화학물에 대해 최적화된 서로 다른 화학적 조성을 가질 수 있다.

Description

리소그래픽 마스크 응용예들을 위한 붕소-농후 필름들의 가공{ENGINEERING BORON-RICH FILMS LITHOGRAPHIC MASK APPLICATIONS}
본원은 2010년 5월 24일자에 "리소그래픽 마스크 응용예들을 위한 붕소-농후 필름들의 가공"이라 명칭으로 출원된 미국 특허 출원 번호 제 12/786,245 호를 우선권 주장하며, 이 출원은 인용에 의해 본 명세서에 통합되었다.
본 발명의 실시예들은, 일반적으로, 반도체 기판들과 같은 기판들 상에 필름들을 형성하기 위한 방법들에 관한 것이다. 보다 구체적으로, 본 발명의 실시예들은 기판들 상에 붕소-농후 필름들을 형성하기 위한 방법들에 관한 것이다.
집적 회로의 기하학적 구조들은 수십 년 전 그러한 소자들이 처음 도입된 이래 그 크기가 현저히 감소되었다. 그때부터, 집적 회로들은 대체로 2년/절반 크기 법칙(소위, 무어(Moor)의 법칙이라 함)을 따라왔고, 이는 한 개의 칩 상에 설치되는 소자들의 수가 매 2년마다 2배가 됨을 의미한다. 오늘날의 제조 설비들은 일상적으로 0.13 ㎛, 심지어는 0.1 ㎛의 피쳐(feature) 크기들을 갖는 소자들을 생산하고 있고, 미래의 설비들은 조만간 훨씬 더 작은 피쳐 크기들을 갖는 장치들을 생산할 것이다.
반도체 프로세싱에서 다양한 목적을 위해 비정질 붕소 필름들이 사용되었다. 비정질 붕소는 저유전체 필름들을 위한 양호한 기계적 특성들, 우수한 스텝 커버리지, 양호한 습식 에칭 저항 및 높은 건식 에칭 선택도를 갖는다. 이러한 모든 특징들은 저유전상수 유전체 에칭 및 자기-정렬(self-aligned) 더블-패터닝 프로세스들에 대한 리소그래픽 하드 마스크들 같은 응용예들을 위해 유익하다. 그러나, 비정질 붕소의 문제점은 라인 벤딩(line bending)을 유발하고 집적 회로를 손상시키는 높은 필름 응력을 갖는 경향이 있다는 것이다.
본 발명의 실시예들은, 일반적으로, 화학 기상 증착(CVD) 프로세스를 통해 기판 상에 붕소-농후 필름들을 증착하기 위한 방법들을 제공한다. 붕소-농후 필름들은 양호한 기계적 특성들, 우수한 스텝 커버리지, 양호한 습식 에칭 저항 및 비정질 붕소와 유사한 다른 특성들과 같은, 많은 유익한 특징들을 갖고 있는 것으로 밝혀졌다. 붕소-농후 필름의 많은 물리적 특징들이 조정 가능하며, 증착 프로세스에 의해 제어된다.
일 실시예에서, CVD 프로세싱을 통해 기판 상에 붕소 필름들이 증착된다. CVD 프로세싱 챔버 속으로 붕소 함유 전구체가 유도된다. 상기 기판과 전구체들이 300℃ 이상의 온도로 가열된다. 300℃ 이상에서 붕소 함유 전구체에 대해 열 반응이 발생한다. 상기 열 반응에 의해 상기 기판 상에 붕소-농후 필름들이 증착된다. 증착된 붕소-농후 층의 조성은 붕소와 첨가물들의 서로 다른 비율들에 의해 달라질 수 있다.
다른 실시예들에서, 붕소 물질에 수소가 첨가될 수 있다. 수소를 첨가하기 위해, 상기 붕소 함유 전구체는 수소를 포함할 수 있다. 적당한 붕소 함유 전구체의 예는 B2H6이다. 또한, 붕소-농후 필름은 수소, 산소, 탄소, 질소 및 인을 포함할 수 있다. 화학 성분들의 농도를 변화시킴으로써, 증착된 붕소-농후 막의 물리적 특성들이 제어될 수 있다.
붕소 층의 최종 조성을 제어하기 위해, 증착 조건들이 제어된다. 증착 조건들은 전구체 유량들, 온도, 플라즈마 파워 및 다른 프로세스 챔버 파라미터들을 포함한다. 반응 전구체들도 붕소 조성을 제어할 것이고, N2, H2, Ar, He 또는 이 가스들의 임의의 조합 같은 희석제와 결합될 수 있다. 여러 가지 필름 성분들의 상대 농도들을 제어함으로써, 붕소 필름의 물리적 특징들이 특수한 응용예에 대해 최적화될 수 있다. 예컨대, 일 실시예에서, 반도체 프로세싱에서, 증착된 붕소 필름이 패터닝되고, 하드 마스크로서 사용될 수 있다. 하드 마스크 실시예에서, 붕소 함량은 60%를 초과하며, 수소와 같은 다른 첨가물들의 농도는 5% 미만일 수 있다.
바람직한 실시예들에서, 하기된 CVD 증착 프로세스 조건들이 사용된다. 붕소 함유 전구체는 B2H6이고, CVD 챔버로의 유량은 10sccm 내지 10slm일 수 있다. 프로세스 가스 희석제들은 N2와 Ar이며, 각각의 유량들은 200sccm 내지 20slm이다. CVD 챔버 내부의 압력은 10mT 내지 760T이며, 페데스탈 온도는 25℃ 내지 550℃이다.
붕소-농후 필름은 매우 양호한 스텝 커버리지를 갖고, 비평탄면을 가진 기판 위에 증착될 수 있다. 증착 후, 붕소-농후 층은 패턴으로 에칭될 수 있다. 붕소-농후 필름 상에 포토 레지스트 필름이 증착되고 리소그래피 프로세스에 의해 패터닝된다. 그리고, 붕소-농후 층에 소정 패턴을 에칭하기 위해 건식 에칭 프로세스가 사용된다. 붕소-농후 층이 에칭된 후, 포토 레지스트 층이 에싱(ashing) 프로세스에 의해 제거되고, 패터닝된 붕소-농후 층이 습식 세정 프로세스에 의해 세정될 수 있다. 에칭된 붕소-농후 층은 하부 기판의 에칭을 위해 패터닝된 하드 마스크로서 사용될 수 있다. 예컨대, 에칭을 위한 하부 물질 층들은, 유전체 물질들(실리콘 산화물, 실리콘 질화물, 저유전상수 물질들), 금속들(구리, 알루미늄, 텅스텐), 및 폴리실리콘을 포함할 수 있다.
일부 실시예들에서, 붕소-농후 필름은 다수의 서로 다른 붕소 층들을 포함할 수 있다. 각각의 층은 전술한 방식으로 증착될 수 있다. 각각의 층은 동일한 화학적 조성과 붕소 농도를 가질 수 있다. 대안적으로, 각각의 붕소-농후 층은 서로 다른 화학적 조성을 가질 수 있다. 화학적 조성은 서로 다른 에칭 물질들에 대한 선택도와 관련된다. 따라서, 에칭될 하부의 기판이 서로 다른 물질들로 이루어진 층 스택(layer stack)이면, 붕소-농후 물질은 각각의 하부 층들에 대해 최적으로 선택적인 대응하는 층들을 가질 수 있다. 예컨대, 기판이 모두 에칭되어야 하는 실리콘 질화물로 덮인 폴리실리콘을 포함하는 층 스택이면, 붕소-농후 하드 마스크는 실리콘 질화물에 대해 고도로 선택적인 상층과 폴리실리콘에 대해 고도로 선택적인 하층을 포함할 수 있다. 에칭 프로세싱이 실시될 때, 제 1 에칭 화학물은 노출된 실리콘 질화물을 위해 사용되고, 제 2 에칭 화학물은 폴리실리콘을 위해 사용된다. 실리콘 질화물에 대해 고도로 선택적인 상부의 붕소-농후 층은 제 1 에칭 화학물에 노출될 것이다. 제 1 에칭 화학물은 실리콘 질화물을 에칭할 것이고, 붕소 필름의 상층을 또한 에칭할 것이다. 제 1 에칭이 완료되고 폴리실리콘 층이 노출되면, 제 2 에칭 화학물이 사용된다. 폴리실리콘에 대해 고도로 선택적인 하부의 붕소-농후 층은 제 2 에칭에서 제 2 에칭 화학물에 노출될 수 있다. 에칭 프로세싱에 완료된 후, 붕소 층들이 제거될 수 있으며, 기판이 세정될 수 있다. 다른 실시예들에서, 남은 붕소 물질이 소자에서 사용될 수 있으며, 기판으로부터 제거되지 않는다. 일반적으로, 붕소 함량이 더 높은 붕소-농후 필름들이 에칭에 대해 더 저항하는 경향이 있으며, 비정질 붕소가 가장 높은 에칭 저항을 갖는다.
다른 실시예들에서, CMP 프로세스에서 붕소 층이 제거될 수 있다. 예컨대, 붕소-농후 층이 유전체 층을 덮을 수 있다. 붕소 층을 패터닝하기 위해 리소그래피 프로세스가 사용될 수 있으며, 그리고, 패터닝된 붕소 층이 유전체 층을 에칭하기 위한 하드 마스크로서 사용될 수 있다. 하부의 유전체 층(들)이 에칭된 후, 구리와 같은 전도성 물질이 에칭된 트랜치들에 증착될 수 있다. 금속 증착 후, 증착된 금속과 유전체 층이 동일 평면상에 놓이도록, 붕소 층과 금속 층이 CMP 프로세스에 의해 평탄화될 수 있다. 붕소-농후 필름에서 응력을 완화하는 자외선(UV) 경화 또는 플라즈마 프로세싱을 포함하는 추가적인 프로세싱이 소자를 완성하기 위해 실시될 수 있다.
도 1은 붕소-농후 층을 형성하기 위한 프로세스의 흐름도이다.
도 2는 다양한 붕소 비율들을 포함하는 여러 가지 붕소-농후 층들의 에칭률들을 도시하고 있는 그래프이다.
도 3은 다양한 붕소 비율들을 포함하는 여러 가지 붕소-농후 층들의 에칭 선택도들을 도시하고 있는 그래프이다.
도 4는 다양한 붕소 비율들을 포함하는 여러 가지 붕소-농후 층들의 프로세스 단계들 이후의 필름 두께 변화들을 도시하고 있는 그래프이다.
도 5는 에싱 및 습식 세정 이후의 붕소-농후 층들의 굴절률 변화를 도시하고 있는 그래프이다.
도 6은 붕소-농후 층의 물질 조성을 나타낸 FTIR 그래프이다.
도 7 내지 도 11은 다층 붕소-농후 층을 위한 에칭 프로세스를 도시하고 있다.
도 12는 다양한 붕소 비율들을 포함하는 여러 가지 층들 간의 CMP 물질 제거율의 차이들을 도시하고 있는 그래프이다.
도 13 내지 도 17은 CMP 정지층으로서 사용된 붕소-농후 층을 도시하고 있다.
본 발명은 반도체 소자에서 사용하기 위한 기판 상에서의 붕소-농후 층의 증착에 관한 것이다. 붕소-농후 층들은 패터닝 후 희생되거나 구조들 내에 남을 수 있는 하드 마스크로서 사용될 수 있다. 예컨대, 붕소-농후 필름들은 산화물, 질화물, 실리콘, 폴리실리콘 또는 금속 층들을 에칭하기 위한 하드 마스크들이다.
붕소에 추가하여, 붕소-농후 필름들은 수소, 산소, 탄소, 질소 및 인을 포함할 수도 있다. 테스트 샘플들에서, 수소와 다른 첨가물 농도들은 1% 미만 내지 10%로 다양하였다. 첨가물들의 농도들을 변화시킴으로써, 붕소-농후 층의 물리적 특성들이 제어될 수 있다. 예컨대, 붕소-농후 층의 경도(HD)는 붕소의 비율과 함께 증가하는 경향이 있다. 영률(MOD)도 붕소의 비율과 함께 증가하는 경향이 있다.
붕소-농후 필름들은 높은 스텝 커버리지와 낮은 패턴 부하 효과를 갖는다. 본 명세서에서 규정된 바와 같이, 스텝 커버리지가 높은 필름들은, 스텝 커버리지가 낮은 필름들 보다, 피쳐의 다양한 표면들, 즉, 측벽들, 상단 및 하단 사이에 더 낮은 비율의 필름 두께차를 갖는다. 패턴 부하 효과(PLE)는 피쳐들이 매우 적은 기판 영역(격리 구역)에서 피쳐의 하단, 상단 또는 측벽과 같은 부분에서의 필름 두께와 피쳐들이 매우 밀집된 기판 영역(밀집 구역)에서 피쳐의 대응하는 부분에서의 필름 두께 사이의 필름 두께차의 비율로서 규정되며, 따라서, 패턴 부하 효과 비율이 더 낮다는 것은 기판에서 필름 두께 균일도가 더 높다는 것을 나타낸다. 붕소-농후 층의 스텝 커버리지는 90%를 초과하며, 패턴 부하 효과(PLE)는 거의 모든 붕소 질소 층들에 있어서 5% 미만이다. 이 특징들은 매우 양호하며, 비정질 붕소와 동일하다.
화학 기상 증착(CVD) 프로세싱 챔버에서 기판 상에 붕소-농후 층을 증착하기 위해 특수한 프로세스들이 사용된다. 도 1은 붕소-농후 층을 증착하기 위해 사용되는 기본 프로세스 단계들을 도시하고 있는 흐름도이다. 붕소 함유 전구체가 CVD 프로세싱 챔버 속으로 유도된다(단계 102). 챔버를 가열하고, 붕소 함유 전구체의 유량들을 제어하며, 다른 프로세싱 챔버 파라미터들을 제어함으로써, CVD 프로세싱 챔버의 작동 조건들이 제어된다(단계 104). 붕소 함유 전구체와 수소, 산소, 탄소, 질소 및 인과 같은 임의의 첨가물들에 대한 열 반응이 발생한다. 열 반응에 의해 기판 상에 붕소-농후 필름이 증착된다(단계 106). CVD 챔버 내에 플라즈마가 없는 상태에서 챔버 내의 기판 상에 붕소-농후 필름이 증착될 수 있다.
챔버 내에 플라즈마가 없는 상태에서 붕소-농후 필름이 증착될 때, 증착시, 챔버 내의 기판 지지체의 온도는 약 25℃ 내지 약 650℃로 설정될 수 있으며, 챔버 내의 압력은 약 10mTorr 내지 약 760Torr일 수 있다. 붕소-농후 필름이 위에 증착되는 베이스 기판은 실리콘, 실리콘 함유 물질, 유리 또는 임의의 다른 적당한 기판 물질일 수 있다. 기판은 그 위에 증착되는 하나 또는 그 초과의 물질 층들 및/또는 그 내부에 형성되는 피쳐들을 가질 수 있다. 붕소-농후 층이 이 층들 위에 증착될 수 있다.
붕소-농후 층을 증착하기 위해, 붕소 함유 전구체가 챔버 속으로 유도된다. 붕소 함유 전구체는 B2H6일 수 있고, 유량은 10sccm 내지 10slm일 수 있다. 전구체 가스에 추가하여, 수소, 산소, 탄소, 질소 및 인과 같은 첨가물들도 챔버 속으로 유도될 수 있다. 희석 가스들도 프로세싱 챔버 속으로 유도될 수 있다. 예컨대, 희석 가스는 N2일 수 있으며, 이는 200sccm 내지 20slm의 유량으로 유도될 수 있다. 추가적인 희석 가스는 Ar일 수 있으며, 이는 200sccm 내지 20slm의 유량을 가질 수 있다. 붕소-농후 증착 프로세스에서, 챔버 압력은 10mT 내지 760T일 수 있다. 붕소-농후 물질의 증착률은 약 400 내지 1,450Å/min 범위일 수 있다.
다른 실시예들에서, 플라즈마 강화 화학 기상 증착(PECVD) 챔버 내에 플라즈마가 있는 상태에서 붕소-농후 필름이 증착되며, 증착시, 챔버 내의 기판 지지체의 온도는 약 100℃ 내지 약 1,000℃로 설정될 수 있으며, 챔버 내의 압력은 약 10mTorr 내지 약 760Torr일 수 있다. 챔버의 기판 지지체 전극 및/또는 샤워헤드 전극으로 전달되는 RF 파워에 의해 플라즈마가 제공될 수 있다. RF 파워는 약 100㎑ 내지 최대 약 1㎒, 예컨대, 약 300㎑ 내지 약 400㎑의 단일의 저주파수의 약 2W 내지 약 5,000W의 파워 레벨로, 또는 약 1㎒ 내지 최대 약 60㎒의 단일의 고주파수의 약 2W 내지 약 5,000W의 파워 레벨로 제공될 수 있다. 대안적으로, RF 파워는 약 2W 내지 약 5,000W의 파워 레벨의 약 100㎑ 내지 최대 약 1㎒의 제 1 주파수와 약 2W 내지 약 5,000W의 파워 레벨의 약 1㎒ 내지 최대 약 60㎒의 제 2 주파수를 포함하는 혼합 주파수로 제공될 수 있다.
붕소 함유 전구체가 속으로 유도될 수 있는 챔버는 임의의 CVD 챔버 또는 PECVD 챔버일 수 있다. 사용될 수 있는 챔버들의 예들은 캘리포니아주 산타 클라라에 소재한 어플라이드 머티어리얼스 인코포레이티드로부터 모두 입수할 수 있는 PRODUCER®SE와 PRODUCER®GT PECVD 챔버들을 포함한다.
상술한 범위들 내에서 유량들과 다른 프로세싱 조건들을 변화시킴으로써, 붕소-농후 층에서 붕소의 농도가 제어될 수 있다. 필름 조성과 아울러, 붕소 함유 전구체를 유도할 때, 챔버 속으로 다른 전구체들을 유도함으로써, 굴절률(RI)과 스텝 커버리지 같은 붕소-농후 필름들의 다른 특성들이 조정될 수 있다. 예컨대, NH3와 SiH4 같은 첨가물들이 붕소 전구체와 함께 CVD 프로세싱 챔버 속으로 유도될 수 있다. B2H6, NH3 및 SiH4로 증착된 붕소-농후 필름들이 최상의 스텝 커버리지를 갖는다. 증착된 붕소-농후 층들의 성능을 변화시키기 위해 다양한 다른 첨가물들이 사용될 수 있다.
일 실시예에서, 반도체 제조시 붕소-농후 층이 하드 마스크 물질로서 사용된다. 서로 다른 붕소 비율들은 서로 다른 물리적 특성들을 초래할 수 있다. 예컨대, 도 2를 참조하면, 여러 가지 붕소-농후 층들의 에칭률들을 나타낸 막대 그래프가 도시되어 있다. 그래프는 상이한 불소 프로세스에 의해 각각 에칭된 세 그룹의 붕소 함유 물질들로 분할되어 있다. 제 1 에칭은 프로세스 가스들, CHF3 및 C2F6를 사용하는 저온 산화물(LTO) 플라즈마 에칭이다. 제 2 에칭은 CHF3:CH4 에칭 화학물 같은 탄소-불소(CxFx)를 통상적으로 사용하는 극저 유전상수(ULK) 메인 에칭(ME)이다. 제 3 에칭은 CHF4 같은 탄소-불소(CxFx)로 통상적으로 에칭되는 배리어 저유전상수(BLOK)이다.
세 그룹들의 붕소 함유 물질들은 54%, 60%, 64% 및 66%를 포함하는 서로 다른 붕소 농도들을 갖는다. 비교를 위해, 비정질 붕소의 특징들도 도표화되어 있다. 각각의 막대 높이는 여러 가지 붕소 함유 물질들의 에칭률들을 나타낸다. 각각의 그룹에서, 막대들은 좌측에서(최저 붕소 함량) 우측으로 붕소 함량이 증가하는 순서로 배열되어 있다. 붕소 함량이 증가할수록, 에칭률이 감소하는 경향이 있다. 에칭 1과 에칭 2의 에칭률은 매우 유사하다. 54% 내지 64%의 붕소를 가진 붕소 층들에 있어서, 에칭률들은 약 480 내지 520Å/min이다. 붕소 함량이 66%로 증가하면, 에칭률들은 약 390Å/min로 떨어진다. 반면에, 동일한 에칭 조건들에서 비정질 붕소의 에칭률은 약 160Å/min이다. 제 3 에칭에 있어서, 54% 내지 64%의 붕소를 가진 붕소 층들에 있어서, 에칭률은 약 400 내지 420Å/min이고, 66%의 붕소인 경우의 에칭률은 300Å/min이며, 비정질 붕소인 경우의 에칭률은 100Å/min이다.
도 3을 참조하면, 세 가지 붕소 기반 에칭 프로세스들에 대한 붕소-농후 층들의 선택도들을 나타낸 막대 그래프가 도시되어 있다. 그래프는 기판의 덮이지 않은 구역들과 붕소-농후 층의 상대적인 에칭률을 표시하는 0 내지 1 사이의 수로서 붕소의 선택도를 나타내고 있다. 선택도는 낮아지는 경향이 있으며, 이는 하부의 층이 붕소-농후 층보다 더 높은 에칭률로 에칭됨을 의미한다. 처음 두 가지 에칭들에서, 54% 내지 64%의 붕소 함량을 가진 붕소-농후 층의 선택도는 약 0.54 내지 약 0.56이다. 66%의 붕소를 가진 붕소-농후 층의 선택도는 약 0.41이고, 비정질 붕소의 선택도는 약 0.17이다. 제 3 불소 에칭에 있어서, 54% 내지 64%의 붕소 함량을 가진 붕소-농후 층의 선택도는 약 0.58 내지 약 0.62이고, 비정질 붕소의 선택도는 0.17이다.
도 4를 참조하면, 에싱 및 습식 세정 후, 붕소-농후 층들의 두께 손실이 또한 측정되었다. 두께 손실들을 결정하기 위해, 에싱 및 습식 세정 전후의 두께들이 측정되었다. 붕소-농후 층들은 에싱으로 인해 9Å 내지 18Å 소실되었고, 에싱과 습식 세정 이후 모두 33Å 내지 40Å 소실되었다. 비교를 위해, 97% 붕소 층은 애싱으로부터 17Å을 소실하였고 애싱 및 습식 세정으로부터 34Å을 소실하였다. 따라서, 이 붕소-농후 물질들의 물질 제거 특징들은 비정질 붕소와 유사하다.
습식 세정은 HF:H2O2, 고온 H3PO4, 및 H2SO4:H2O2와 같은 용액들로 실시될 수 있다. 표 2를 참조하면, 이 습식 세정 용액들에 대한 붕소-농후 물질들의 에칭률들이 나열되어 있다. 에칭률의 범위는 붕소 함량이 증가할수록 감소한다. 60%+ 붕소인 붕소 농후의 에칭률들은 비정질 붕소와 매우 유사한 에칭률들을 갖는다.
특성들 54% 붕소 60% 붕소 64.5% 붕소 66% 붕소 비정질 붕소
습식 에칭률
(Å/min)
DHF:H2O2 2.0 <1.0 <1.0 <1.0 1.0
고온 H3PO4 4.0 <1.0 <1.0 <1.0 0.7
SPM(H2SO4:H2O2) 15 <1.0 1.0 <1.0 0.6
에싱과 습식 세정후 붕소-농후 층 두께를 변화시킴과 아울러, 이 프로세스들은 붕소-농후 층의 굴절률을 또한 변화시킬 수 있다. 도 5는 에싱과 습식 세정후 굴절률(RI)의 변화를 도시한 막대 그래프이다. 에싱 후 -0.004 내지 -0.0045, 에싱과 습식 세정 후 -0.001 내지 -0.004로 변화하며, 굴절률은 프로세싱 후 상당히 일정하게 유지된다. 비정질 붕소의 굴절률 변화는 에싱 후 약 0.002이고, 에싱과 습식 세정 후 약 0.0015이다. 붕소-농후와 비정질 붕소 간의 차이들은 매우 작은 차이들이며, 심하지 않다.
도 6은 증착된 붕소-농후 층의 물질 조성에 대한 에싱과 습식 세정의 효과를 나타낸 푸리에 변환 적외선 분광(FTIR) 그래프이다. 이 예에서, 증착된 붕소-농후 층은 54%의 붕소를 함유한다. 그래프는 3개의 피크들을 나타내고 있다. 좌측 피크는 붕소의 산호로 인한 B-OH의 존재를 나타낸다. 이 신호는 증착될 때의 붕소-농후 층에 있는 것이 아니며, 단지 에싱 후에 검출된다. 습식 세정 후 신호가 다시 제거되며, 이는 습식 세정후 산화가 제거됨을 의미한다. 중간 피크는 B-H의 존재를 나타내며, 우측은 B-H의 존재를 나타낸다.
서로 다른 붕소-농후 조성들은 서로 다른 물질들에 대한 최적의 선택도를 제공할 수 있다. 일 실시예에서, 다층 붕소 필름을 사용하여 다양한 물질들로 이루어진 층 스택을 에칭할 수 있다. 붕소-농후 물질의 상층은 제 1 에칭 화학물을 사용하여 상부의 하부 물질에 대해 최적의 선택도를 가질 수 있으며, 하부의 붕소-농후 층은 제 2 에칭 화학물을 사용하여 하부의 하부 층에 대해 최적의 선택도를 가질 수 있다. 다층 에칭이 도 7 내지 도 11에 도시되어 있다. 도 7을 참조하면, 에칭 전 층 스택이 도시되어 있다. 층 스택은 기판(501), 하부 물질 층(503), 상부 물질 층(505), 하부 붕소-농후 층(513) 및 상부 붕소-농후 층(515)을 포함한다. 상부 붕소-농후 층(515) 위에 포토 레지스트(507)의 층이 증착될 수 있으며, 리소그래피 프로세스에서 패터닝될 수 있다. 도 8을 참조하면, 상부 붕소-농후 층(515)과 하부 붕소-농후 층(513)이 에칭된다. 그 후, 도 9에 도시된 바와 같이, 패터닝된 포토 레지스트(507)가 제거된다. 도 10을 참조하면, 상부 물질 층(505)이 제 1 에칭 화학물에 의해 에칭되며, 이는 상부 붕소-농후 층(515)도 에칭한다. 도 11을 참조하면, 하부 물질 층(503)이 제 2 에칭 화학물에 의해 에칭되며, 이는 남아 있는 상부 붕소-농후 층(515)과 대부분의 하부 붕소-농후 층(513)을 또한 에칭한다. 다른 실시예들에서, 추가적인 층 스택들을 가진 기판들이, 각각 상이한 물질 조성을 가진 다중 붕소-농후 층들에 의해, 유사한 방식으로 에칭될 수 있다.
에칭 프로세싱이 완료된 이후에, 패터닝 후, 붕소-농후 층이 희생적이여서 구조로부터 제거되거나 구조 내에 남을 수 있다. 예컨대, 산화물, 질화물, 실리콘, 폴리실리콘 또는 금속 층들을 에칭하기 위한 하드 마스크들인 붕소-농후 필름들은 구조 내에 남을 수 있다. 붕소-농후 필름들은, 구리 배리어층들 같은 말단 응용예들을 위해, 또는, 예컨대, 구리와 구리 배리어층들 사이에 CuBN, CuPBN 또는 CuBCSiN 층들을 형성함으로써, 구리와 구리 배리어층들 사이의 접착층들로서 사용될 수도 있다. 붕소-농후 구리 배리어층들 또는 접착층들은 1.1 내지 6.5의 유전상수를 가질 수 있다. 붕소-농후 구리 배리어층들은 통상의 다마신 구조들 또는 희생 물질을 증착한 다음 제거함으로써 형성되는 공극들을 포함하는 구조들에서 사용될 수 있다.
일 실시예에서, 붕소-농후 층은 화학적 기계적 폴리싱(CMP) 프로세스에 의해 제거될 수 있다. CMP 프로세싱에서, 기판은 회전식 헤드에 유지되며, 연마 슬러리로 포화된 이동식 CMP 패드에 대해 압착된다. 연마 슬러리에 대한 기판의 이동과 압력으로 인해, 기판으로부터 물질이 제거된다. 슬러리에 노출되는 기판 상의 서로 다른 물질들은 서로 다른 에칭률들을 가질 것이다. 도 12는 CMP 프로세싱에서 붕소-농후 물질의 물질 제거율을 도시한 그래프이다. 이 예에서, 54%의 붕소를 가진 붕소-농후 층의 CMP 에칭률은 310Å/min이고, 60%의 붕소인 경우의 에칭률은 280Å/min이며, 64%의 붕소인 경우의 에칭률은 250Å/min이고, 66%의 붕소인 경우의 에칭률은 200Å/min이다. 붕소-농후 층에서 붕소의 비율이 증가할수록, 물질 제거율은 감소한다. 붕소-농후 물질들과 아울러, 그래프는 TiN이 600Å/min인 에칭률을 가지며, 이는 테스트된 모든 붕소-농후 층들보다 훨씬 더 높은 CMP 물질 제거율임을 또한 도시하고 있다.
도 13 내지 도 17을 참조하면, 붕소-농후 층에 의한 CMP 프로세싱의 단계들이 도시되어 있다. 도 13을 참조하면, 기판(601)은 유전체층(603), 붕소-농후 층(605) 및 패터닝된 포토 레지스트 층(607)을 갖는다. 도 14를 참조하면, 붕소-농후 층(605)이 에칭된다. 도 15를 참조하면, 포토 레지스트 층(607)이 제거되고, 유전체층(603)이 에칭된다. 도 16을 참조하면, 구리와 같은 전도성 물질이 붕소-농후 층(605) 위에 증착되며, 유전체 층의 에칭된 부분을 충진한다. 도 17을 참조하면, 붕소-농후 층이 제거되고, 전도성 물질(609)이 유전체층(603)과 동일 평면 상에 놓일 때까지, 붕소-농후 층(605)과 전도성 물질(609)이 CMP 프로세스에 의해 평탄화된다.
일부 실시예들에서, 붕소-농후 층에 대해 추가적인 프로세싱이 실시될 수 있다. 일부 경우들에서, 증착된 붕소-농후 필름이 높은 응력 레벨을 가질 수 있다. 응력 레벨은 필름의 붕소 함량에 비례할 수 있으며, 붕소 함량이 높을수록 높은 응력 레벨을 생성한다. 응력을 해소하기 위한 가능한 방법은 증착 온도를 제어하는 것이다. 붕소-농후 필름의 응력은 300℃에서 매우 높은 인장 응력일 수 있으며, 온도가 감소함에 따라 감소될 수 있다. 약 480℃를 초과하는 증착 온도에서, 응력은 압축성이 된다. 이 전이점에 가깝게 붕소-농후 층을 증착함으로써, 응력이 최소화될 수 있다. 다른 실시예들에서, 응력을 줄이기 위해 증착후 프로세스들이 실시될 수 있다. 예컨대, 특정 필름 두께가 증착된 후, 자외선(UV) 경화, 또는 아르곤, 헬륨 또는 제논에 의한 플라즈마 프로세싱이 전체 붕소-농후 필름에 응용될 수 있다. 예컨대, 이러한 처리들은 5 내지 50Å의 붕소-농후 필름 두께가 증착된 후 응용될 수 있다. 자외선 경화는 붕소-농후 필름의 응력을 더 인장성으로 만드는 경향이 있으므로, 필름이 480℃ 초과의 온도에서 증착되어 압축 응력을 갖게 되면, 자외선 경화는 필름을 압축성이 덜하도록 만들 것이다.
당업자는 전술한 상세한 설명에서 수많은 특수한 세부 사항들은 본 발명의 완벽한 이해를 제공하기 위해 개시되었음을 이해할 것이다. 그러나, 본 발명이 이러한 특수한 세부 사항들 없이 실시될 수 있음을 당업자들은 명백하게 이해할 것이다. 다른 경우들에서, 공지의 구조들과 장치들은 설명의 편의를 위해 블럭도 형태로 도시하였다. 바람직한 실시예들에 대한 설명은 첨부된 특허청구범위들의 범위를 제한하고자 하는 것이 아니다.

Claims (21)

  1. 기판 프로세싱 방법으로서,
    a) 프로세싱 챔버 내로 상기 기판을 위치시키는 단계;
    b) 상기 챔버 내로 붕소 함유 전구체를 도입하는 단계;
    c) 상기 기판 및 상기 붕소 함유 전구체를 300℃ 초과로 가열하는 단계;
    d) 상기 붕소 함유 전구체를 열적으로 반응시키는 단계; 및
    e) 상기 기판 상에 붕소-농후(boron rich) 필름을 균질한 물질로서 증착하는 단계를 포함하며,
    상기 붕소-농후 필름은 적어도 60%의 붕소를 가진,
    기판 프로세싱 방법.
  2. 제 1 항에 있어서,
    상기 붕소-농후 필름의 미리 결정된 두께가 형성될 때까지, (b) 내지 (e) 단계들을 반복하는 단계를 더 포함하는,
    기판 프로세싱 방법.
  3. 제 2 항에 있어서,
    상기 붕소-농후 필름의 다중 층들의 각각의 층은 서로 다른 물질 조성을 가진,
    기판 프로세싱 방법.
  4. 제 1 항에 있어서,
    f) 상기 붕소-농후 필름 위에 패터닝된 포토 레지스트 층을 형성하는 단계; 및
    g) 상기 패터닝된 포토 레지스트 층과 대응하는 패턴으로 상기 붕소-농후 필름을 에칭하는 단계를 더 포함하는,
    기판 프로세싱 방법.
  5. 제 4 항에 있어서,
    h) 상기 기판 내에 상기 패턴을 에칭하는 단계를 더 포함하는,
    기판 프로세싱 방법.
  6. 제 5 항에 있어서,
    i) 상기 붕소-농후 필름의 에칭된 부분들에 물질을 증착하는 단계를 더 포함하는,
    기판 프로세싱 방법.
  7. 제 6 항에 있어서,
    j) 상기 물질이 상기 기판과 동일 평면 상에 놓이고(flush) 상기 붕소-농후 필름이 제거될 때까지, 상기 물질을 화학적 기계적 폴리싱 프로세스로 평탄화하는 단계를 더 포함하는,
    기판 프로세싱 방법.
  8. 제 1 항에 있어서,
    k) 상기 증착된 붕소-농후 필름의 응력을 완화시키는(relieve) 단계를 더 포함하는,
    기판 프로세싱 방법.
  9. 제 1 항에 있어서,
    상기 붕소 함유 전구체는 수소를 포함하는,
    기판 프로세싱 방법.
  10. 제 4 항에 있어서,
    상기 붕소 함유 전구체는 B2H6인,
    기판 프로세싱 방법.
  11. 제 1 항에 있어서,
    상기 붕소-농후 필름은 수소, 산소, 탄소 또는 질소 중 하나 또는 그 초과를 약 1% 내지 40% 함유하는,
    기판 프로세싱 방법.
  12. 기판 프로세싱 방법으로서,
    a) 프로세싱 챔버 내로 상기 기판을 위치시키는 단계;
    b) 상기 기판 상에 제 1 층을 형성하고, 상기 제 1 층 위에 제 2 층을 형성하는 단계;
    c) 상기 챔버 내로 붕소 함유 전구체를 도입하는 단계;
    d) 상기 기판 및 상기 붕소 함유 전구체를 300℃ 초과로 가열하는 단계;
    e) 상기 붕소 함유 전구체를 열적으로 반응시키는 단계;
    f) 상기 제 2 층 상에 제 1 붕소-농후 필름을 증착하는 단계;
    g) 상기 도입하는 단계, 상기 가열하는 단계, 및 상기 열적으로 반응시키는 단계를 반복하는 단계; 및
    h) 상기 제 1 붕소-농후 필름 상에 상기 제 1 붕소-농후 필름과 물질 조성이 상이한 제 2 붕소-농후 필름을 증착하는 단계를 포함하는,
    기판 프로세싱 방법.
  13. 제 12 항에 있어서,
    i) 상기 제 2 붕소-농후 필름 위에 패터닝된 포토 레지스트 층을 형성하는 단계; 및
    j) 상기 패터닝된 포토 레지스트 층과 대응하는 패턴으로 상기 제 1 붕소-농후 필름 및 상기 제 2 붕소-농후 필름을 에칭하는 단계를 더 포함하는,
    기판 프로세싱 방법.
  14. 제 13 항에 있어서,
    k) 제 1 에칭 화학물로 상기 제 1 층을 노출시키기 위해 상기 패턴으로 상기 제 2 층을 에칭하는 단계; 및
    l) 상기 제 1 에칭 화학물과는 다른 제 2 에칭 화학물로 상기 패턴으로 상기 제 1 층을 에칭하는 단계를 더 포함하는,
    기판 프로세싱 방법.
  15. 제 13 항에 있어서,
    m) 상기 제 2 층의 에칭 동안, 상기 제 2 붕소 질화물 층의 대부분을 제거하는 단계를 더 포함하는,
    기판 프로세싱 방법.
  16. 제 15 항에 있어서,
    n) 상기 제 1 층의 에칭 동안, 상기 제 1 붕소-농후 층의 대부분을 제거하는 단계를 더 포함하는,
    기판 프로세싱 방법.
  17. 제 12 항에 있어서,
    상기 붕소 함유 전구체는 수소를 포함하는,
    기판 프로세싱 방법.
  18. 제 17 항에 있어서,
    상기 붕소 함유 전구체는 B2H6인,
    기판 프로세싱 방법.
  19. 제 12 항에 있어서,
    o) 상기 증착된 제 2 붕소-농후 필름의 응력을 완화시키는 단계를 더 포함하는,
    기판 프로세싱 방법.
  20. 제 19 항에 있어서,
    상기 응력을 완화시키는 단계는 상기 붕소 질화물 필름의 자외선 또는 플라즈마 프로세싱을 포함하는,
    기판 프로세싱 방법.
  21. 제 12 항에 있어서,
    상기 제 1 붕소-농후 필름 및 상기 제 2 붕소-농후 필름은 60%를 초과하는 붕소 함량을 가진,
    기판 프로세싱 방법.
KR1020127033694A 2010-05-24 2011-05-20 리소그래픽 마스크 응용예들을 위한 붕소-농후 필름들의 가공 KR20130113345A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/786,245 US8337950B2 (en) 2007-06-19 2010-05-24 Method for depositing boron-rich films for lithographic mask applications
US12/786,245 2010-05-24
PCT/US2011/037295 WO2011149770A2 (en) 2010-05-24 2011-05-20 Engineering boron-rich films lithographic mask applications

Publications (1)

Publication Number Publication Date
KR20130113345A true KR20130113345A (ko) 2013-10-15

Family

ID=42731003

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127033694A KR20130113345A (ko) 2010-05-24 2011-05-20 리소그래픽 마스크 응용예들을 위한 붕소-농후 필름들의 가공

Country Status (6)

Country Link
US (1) US8337950B2 (ko)
JP (1) JP2013533376A (ko)
KR (1) KR20130113345A (ko)
CN (1) CN102906859A (ko)
TW (1) TWI525658B (ko)
WO (1) WO2011149770A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160122659A (ko) * 2015-04-14 2016-10-24 에이에스엠 아이피 홀딩 비.브이. 보론 및 카본 함유 물질들의 퇴적
KR20180075388A (ko) * 2016-12-26 2018-07-04 도쿄엘렉트론가부시키가이샤 기판 처리 방법, 기판 처리 장치, 기판 처리 시스템, 기판 처리 시스템의 제어 장치, 반도체 기판의 제조 방법 및 반도체 기판
KR20190095130A (ko) * 2018-02-05 2019-08-14 도쿄엘렉트론가부시키가이샤 보론계 막의 성막 방법 및 성막 장치
KR20190113619A (ko) * 2018-03-28 2019-10-08 도쿄엘렉트론가부시키가이샤 붕소계 막의 성막 방법 및 성막 장치

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9093266B2 (en) * 2011-04-11 2015-07-28 Micron Technology, Inc. Forming high aspect ratio isolation structures
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP2013058523A (ja) * 2011-09-07 2013-03-28 Toshiba Corp 半導体装置の製造方法
US20140131308A1 (en) * 2012-11-14 2014-05-15 Roman Gouk Pattern fortification for hdd bit patterned media pattern transfer
US20140216498A1 (en) * 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US9972489B2 (en) 2015-05-28 2018-05-15 SemiNuclear, Inc. Composition and method for making picocrystalline artificial borane atoms
US11651957B2 (en) 2015-05-28 2023-05-16 SemiNuclear, Inc. Process and manufacture of low-dimensional materials supporting both self-thermalization and self-localization
JP6667410B2 (ja) * 2016-09-29 2020-03-18 東京エレクトロン株式会社 ハードマスクおよびその製造方法
CN110267915B (zh) * 2016-11-29 2023-04-25 半核子有限公司 制备皮晶人造硼烷原子的组合物和方法
JP6950315B2 (ja) * 2016-12-15 2021-10-13 東京エレクトロン株式会社 成膜方法、ボロン膜、及び成膜装置
CN108220922B (zh) * 2016-12-15 2020-12-29 东京毅力科创株式会社 成膜方法、硼膜以及成膜装置
JP6914143B2 (ja) * 2016-12-26 2021-08-04 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム、基板処理システムの制御装置および半導体基板の製造方法
JP6914107B2 (ja) * 2017-06-05 2021-08-04 東京エレクトロン株式会社 ボロン膜の除去方法
US11615957B2 (en) 2018-04-04 2023-03-28 Tokyo Electron Limited Method for forming boron-based film, formation apparatus
US10453684B1 (en) * 2018-05-09 2019-10-22 Applied Materials, Inc. Method for patterning a material layer with desired dimensions
JP7142461B2 (ja) * 2018-05-14 2022-09-27 東京エレクトロン株式会社 基板処理方法、基板処理装置および基板処理システム
US11276573B2 (en) * 2019-12-04 2022-03-15 Applied Materials, Inc. Methods of forming high boron-content hard mask materials
US20220341034A1 (en) * 2021-04-26 2022-10-27 Applied Materials, Inc. Metal-doped boron films

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
FR2525391B1 (fr) 1982-04-16 1985-09-13 Thomson Csf Substrat pour circuit electronique fonctionnant dans la gamme des hyperfrequences, et procede de metallisation de ce substrat
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JPH0758698B2 (ja) 1990-08-22 1995-06-21 信越半導体株式会社 半導体ウエーハヘのボロン拡散方法
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
KR0142150B1 (ko) 1993-04-09 1998-07-15 윌리엄 티. 엘리스 붕소 질화물을 에칭하기 위한 방법
US5483920A (en) * 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
JPH07258822A (ja) * 1994-03-25 1995-10-09 Nissin Electric Co Ltd 窒化ホウ素含有膜及びその製造方法
US5518780A (en) * 1994-06-16 1996-05-21 Ford Motor Company Method of making hard, transparent amorphous hydrogenated boron nitride films
US5558908A (en) 1994-11-07 1996-09-24 Lanxide Technology Company, Lp Protective compositions and methods of making same
US5994762A (en) 1996-07-26 1999-11-30 Hitachi, Ltd. Semiconductor integrated circuit device including boron-doped phospho silicate glass layer and manufacturing method thereof
US6025611A (en) * 1996-09-20 2000-02-15 The Board Of Regents Of The University Of Nebraska Boron-carbide and boron rich rhobohedral based transistors and tunnel diodes
US5837607A (en) 1996-12-05 1998-11-17 Quick; Nathaniel R. Method of making a laser synthesized ceramic electronic devices and circuits
KR100268895B1 (ko) 1997-12-27 2000-10-16 김영환 박막트랜지스터 및 이의 제조방법
US6194321B1 (en) * 1998-08-19 2001-02-27 Micron Technology, Inc. Semiconductor processing methods utilizing boron and nitrogen, and semiconductor wafers comprising boron and nitrogen
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US6228731B1 (en) 1999-08-16 2001-05-08 Taiwan Semiconductor Manufacturing Company Re-etched spacer process for a self-aligned structure
US20050208218A1 (en) 1999-08-21 2005-09-22 Ibadex Llc. Method for depositing boron-rich coatings
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
TW521386B (en) 2000-06-28 2003-02-21 Mitsubishi Heavy Ind Ltd Hexagonal boron nitride film with low dielectric constant, layer dielectric film and method of production thereof, and plasma CVD apparatus
JP5013353B2 (ja) * 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
DE10222083B4 (de) 2001-05-18 2010-09-23 Samsung Electronics Co., Ltd., Suwon Isolationsverfahren für eine Halbleitervorrichtung
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US20030040171A1 (en) 2001-08-22 2003-02-27 Weimer Ronald A. Method of composite gate formation
WO2003025243A2 (en) 2001-09-14 2003-03-27 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US7645513B2 (en) 2003-02-14 2010-01-12 City University Of Hong Kong Cubic boron nitride/diamond composite layers
KR100487948B1 (ko) 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
US7144803B2 (en) * 2003-04-17 2006-12-05 Semiconductor Research Corporation Methods of forming boron carbo-nitride layers for integrated circuit devices
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US20050124151A1 (en) * 2003-12-04 2005-06-09 Taiwan Semiconductor Manufacturing Co. Novel method to deposit carbon doped SiO2 films with improved film quality
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
DE102004042407A1 (de) * 2004-09-02 2006-03-23 Forschungszentrum Karlsruhe Gmbh Schichtverbund mit kubischen Bornitrid
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
KR20060113162A (ko) 2005-04-29 2006-11-02 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR100801736B1 (ko) 2006-04-06 2008-02-11 주식회사 하이닉스반도체 증가된 센싱마진을 갖는 반도체 메모리소자의 제조방법
US8084105B2 (en) * 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
KR20100042644A (ko) 2007-07-13 2010-04-26 어플라이드 머티어리얼스, 인코포레이티드 보론 유도 물질 증착 방법
US8148269B2 (en) 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160122659A (ko) * 2015-04-14 2016-10-24 에이에스엠 아이피 홀딩 비.브이. 보론 및 카본 함유 물질들의 퇴적
KR20180075388A (ko) * 2016-12-26 2018-07-04 도쿄엘렉트론가부시키가이샤 기판 처리 방법, 기판 처리 장치, 기판 처리 시스템, 기판 처리 시스템의 제어 장치, 반도체 기판의 제조 방법 및 반도체 기판
KR20190095130A (ko) * 2018-02-05 2019-08-14 도쿄엘렉트론가부시키가이샤 보론계 막의 성막 방법 및 성막 장치
KR20190113619A (ko) * 2018-03-28 2019-10-08 도쿄엘렉트론가부시키가이샤 붕소계 막의 성막 방법 및 성막 장치

Also Published As

Publication number Publication date
WO2011149770A2 (en) 2011-12-01
TWI525658B (zh) 2016-03-11
TW201212098A (en) 2012-03-16
US20100233633A1 (en) 2010-09-16
WO2011149770A3 (en) 2012-04-19
CN102906859A (zh) 2013-01-30
US8337950B2 (en) 2012-12-25
JP2013533376A (ja) 2013-08-22

Similar Documents

Publication Publication Date Title
KR20130113345A (ko) 리소그래픽 마스크 응용예들을 위한 붕소-농후 필름들의 가공
KR101907802B1 (ko) 하드마스크 물질
KR102430939B1 (ko) 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
CN108475640B (zh) 用于侧向硬模凹槽减小的混合碳硬模
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
KR101327923B1 (ko) 보론 니트라이드 및 보론 니트라이드-유도된 물질 증착 방법
JP2023162196A (ja) エッチング選択性の高いアモルファスカーボン膜
TWI414040B (zh) 氮化硼與硼-氮化物衍生材料的沉積方法
US8178443B2 (en) Hardmask materials
CN100459040C (zh) 用于制造半导体器件的方法
CN102237272B (zh) 半导体装置和半导体装置制造方法
JP7229929B2 (ja) ハードマスク応用向けのホウ素がドープされた炭化タングステン
KR102546860B1 (ko) 저-k 에치 공정 동안 측벽 손상을 최소화하는 방법
JP5006428B2 (ja) 窒素含有前駆物質を用いる誘電体バリアの堆積
CN101647110B (zh) 半导体器件及其制造方法
CN1930670A (zh) 沉积用于金属刻蚀硬掩模应用的无定型碳膜的方法
JP2004153266A (ja) 積層構造体の形成方法及び絶縁膜の集積方法
KR102670993B1 (ko) 1-메틸-1-이소-프로폭시-실라사이클로알칸 및 이로부터 제조된 고밀도 오가노실리카 필름
US11776904B2 (en) Semiconductor device with carbon hard mask and method for fabricating the same
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
KR100492783B1 (ko) 반도체소자의 폴리실리콘 플러그 형성방법
TW475221B (en) Method for forming an insulating planarization layer
WO2024107250A1 (en) Sidewall inorganic passivation for dielectric etching via surface modification
KR20210082265A (ko) 1-메틸-1-이소-프로폭시-실라사이클로알칸 및 이로부터 제조된 고밀도 오가노실리카 필름

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application