CN101641272B - 输送机和成膜装置及其维护方法 - Google Patents

输送机和成膜装置及其维护方法 Download PDF

Info

Publication number
CN101641272B
CN101641272B CN2008800091639A CN200880009163A CN101641272B CN 101641272 B CN101641272 B CN 101641272B CN 2008800091639 A CN2008800091639 A CN 2008800091639A CN 200880009163 A CN200880009163 A CN 200880009163A CN 101641272 B CN101641272 B CN 101641272B
Authority
CN
China
Prior art keywords
carriage
upper frame
frame
film forming
lower frame
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008800091639A
Other languages
English (en)
Other versions
CN101641272A (zh
Inventor
石野耕司
中村肇
松田麻也子
进藤孝明
小清水孝治
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ulvac Inc
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Publication of CN101641272A publication Critical patent/CN101641272A/zh
Application granted granted Critical
Publication of CN101641272B publication Critical patent/CN101641272B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/062Easels, stands or shelves, e.g. castor-shelves, supporting means on vehicles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/063Transporting devices for sheet glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/068Stacking or destacking devices; Means for preventing damage to stacked sheets, e.g. spaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/02Controlled or contamination-free environments or clean space conditions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49815Disassembling
    • Y10T29/49817Disassembling with other than ancillary treating or assembling

Abstract

一种输送机和成膜装置及其维护方法。在具有框架、支撑纵向放置有基板的托架并输送托架的下部支撑机构、和支撑托架的上部支撑机构的输送机中,框架由下部框架和上部框架构成,下部支撑机构设置于下部框架上,上部支撑架构设置于上部框架上,上部框架能够与下部框架分离而移动。于是,通过旋转上部框架,能够将阴极部件配置在形成于下部框架上方的空间内,因此可以使成膜处理路径与托架输送路径之间的间隔狭窄。因此,由于可以使成膜装置的内部区域狭窄,可以在能够输送托架的输送机以及具有该输送机并具有真空处理装置和输送系统的成膜装置中,使其设置面积狭小化。

Description

输送机和成膜装置及其维护方法
技术领域
本发明涉及一种输送机和成膜装置。
本申请基于2007年4月16日在日本申请的专利申请2007-106877号主张优先权,在此引用其内容。
背景技术
在液晶显示器等的制造工艺中,对大型玻璃基板进行加热处理和成膜处理等真空处理。因此,开发了各种各样的真空处理装置。其中之一就有用于在液晶显示器的彩色滤光片侧形成ITO膜(电极膜)的托架循环型的直列溅射装置(例如,参照专利文献1)。
此外,溅射装置有:使溅射后的玻璃基板在真空内旋转或横向移动并返回到真空中的类型(真空返回型),和使溅射后的玻璃基板从真空搬出到大气侧后旋转或横向移动并返回的类型(大气返回型)。而且,还有输送相面对真空中的托架,利用大气侧的旋转机构一枚一枚地分开并返回的类型(两面成膜方式的大气返回型)。其中,大气返回型的溅射装置具有制造出真空状态并通过溅射在玻璃基板上形成薄膜的真空装置和在真空处理装置外部输送搭载有成膜前后的玻璃基板的托架的大气输送系统。在该大气返回型的溅射装置中,存在被真空处理装置和大气输送系统包围的区域(内部区域)。为了能够在溅射装置内稳定输送玻璃基板,玻璃基板被安装在称作托架的台车上。
此外,在大气输送系统中,利用输送机来输送托架。作为该输送机的机构,在下部支撑机构上使用有齿条齿轮或滚轮,根据需要有使用磁铁的非接触机构作为上部支撑机构被使用。在此,为了避免搭载于托架上的玻璃基板破裂、从托架产生微粒、输送干扰,特别对输送机的输送线的中心进行重点调整。此外,为了不产生偏心和输送线经过一段时间发生偏离等,并且从调整的容易性出发,以下部支撑机构和上部支撑机构安装在同一个框架上且一旦调整之后位置不偏离地将框架牢固地固定在底面上。
专利文献1:日本专利公开2002-309372号公报(图4)
在上述专利文献1的图4所记载的连续式成膜装置中,溅射室的靶和用于防止薄膜附着在真空室上的防附着板等的定期更换以及其他机械系统的定期检查等是必须的。在此,图13表示了现有的成膜装置的整体结构。溅射室34的维护操作如图13所示,以从溅射室34将包括靶的阴极部件80放倒的状态进行。
可是,在阴极部件80从溅射室34朝向大气输送系统115放倒的结构的成膜装置110中,由于输送机40的下部支撑机构和上部支撑机构不动,为了使放倒的阴极部件80和大气输送系统115在俯视中不重叠,需要将真空处理装置113和大气输送系统115的间距扩大。也就是说,如图13所示需要扩大内部区域117,成膜装置110存在必要的设置面积增大的问题。而且,由于近年来玻璃基板向大型化发展,与其相适应地阴极部件80的维护机构111也大型化,因此存在必须确保内部区域117的更加宽阔的问题。
发明内容
本发明鉴于上述情况而产生,提供一种在能够输送托架的输送机以及在具有上述输送机且具有真空处理装置和输送系统的成膜装置中,能够使其设置面积狭小化的输送机和成膜装置。
关于本发明的第一方面为一种输送机,具有框架、支撑纵向放置有基板的托架并输送上述托架的下部支撑机构、和支撑上述托架的上部支撑机构,上述框架由下部框架和上部框架构成,上述下部支撑机构设置于上述下部框架上,上述上部支撑框架设置于上述上部框架上,上述上部框架能够与上述下部框架分离而移动。
这种情况下,具有设置有上述支撑机构的上部框架和设置与下部支撑机构的下部框架的位置关系能够错开的效果。
此外,下部支撑机构安装在下部框架内,利用下部支撑机构一边支撑托架的载重一边输送托架,因此能够在下部支撑机构的输送路线精度良好地定位的状态下,精度良好地输送托架。因此,具有能够防止托架所搭载的基板的破裂、从托架产生微粒和输送干扰的效果。
上述下部框架被支撑固定在底面上,上述上部框架与将上述下部框架支撑在上述底面上的垂直轴中的任意一个相连接,能够以上述垂直轴为中心旋转。
这种情况下,能够在下部支撑机构处于固定的状态下,仅使上部支撑机构转动。因此,具有能够维持下部支撑机构精度的效果。此外,由于上部框架能够以将下部框架固定在底面上的垂直轴为中心旋转,具有能够在下部框架的上方容易地形成空间,并使上部框架简单地返回下部框架的上方而定位的效果。
上述上部支撑机构可以非接触地支撑上述托架。
这种情况下,由于上部支撑机构能够与托架非接触地输送,即使上部框架(上部支撑机构)的位置有一些偏离也不影响托架的输送,具有能够可靠地输送托架的效果。
在上述上部框架的旋转方向的面前侧,相对上述底面设置有支撑上述上部框架并沿着上述底面转动的第一滚轮,在上述上部框架的旋转方向里侧,相对上述底面设置有支撑上述上部框架并沿着上述底面转动的第二滚轮,上述第二滚轮能够移动到,当上述上部框架旋转时,不与上述下部框架相干涉的位置上。
这种情况下,当上部框架旋转时,由于上部框架的载重能够通过与上述下部框架相连接的垂直轴、第一滚轮和第二滚轮三点支撑在底面上,具有上部框架不会因为自重而倾斜,能够可靠地旋转的效果。
另外,由于第二滚轮能够被收纳,当旋转上部框架时,能够仅在与下部框架干涉时移动到不与第二滚轮相干涉的位置上,其它时候相对底面支撑上部框架而使用,具有能够高效使用的效果。
在具有纵向支撑并输送上述基板的上述托架、在搭载于上述托架上的上述基板上进行成膜处理的成膜处理路径、和与上述成膜处理路径并列配置的托架输送路径,且被构成为上述成膜处理路径的构成部件能够向着上述托架输送路径移动的成膜装置中,上述托架输送路径上设置有上述任意一个输送机,上述上部框架能够移动到可以回避与移动后的上述成膜处理路径的上述构成部件干涉的位置上。
这种情况下,由于移动上部框架,能够在下部框架的上方形成空间,并能够将移动后的成膜处理路径的构成部件配置在该空间内,可以使成膜处理路径和托架输送路径的距离靠近。因此,具有能够使成膜装置的设置面积狭小化的效果。
上述成膜处理路径的上述构成部件可以是溅射处理装置的靶的支撑部件。
这种情况下,当维护溅射处理装置时,由于通过旋转上部框架,能够将溅射处理装置的靶的支撑部件配置在形成于下部框架上方的空间内,可以使成膜处理路径和托架输送路径的间隔狭窄。因此,具有能够使具有成膜处理路径和托架输送路径的成膜装置的设置面积狭小化的效果。
上述成膜装置的维护方法可以具有:使上述上部框架从上述下部框架分离并移动到退避位置的工序,和将上述成膜处理路径的构成部件放倒在上述下部框架的上方,对上述成膜处理路径的构成部件进行维护的工序。
这种情况下,由于移动上述上部框架,能够在上述下部框架的上方形成空间,并能够将移动后的上述成膜处理路径的上述构成部件配置在该空间内,可以使上述成膜处理路径和上述托架输送路径的距离靠近。因此,具有能够使成膜装置的设置面积狭小化的效果。
发明效果
利用本发明,由于在维护成膜装置时,旋转输送机的上部框架,可以在下部框架的上方形成空间,并能够将成膜处理装置的构成部件配置在该空间内,所以可以使成膜处理路经和托架输送路径的距离靠近。因此,具有能够将成膜装置的设置面积狭小化的效果。
附图说明
图1为表示本发明的实施方式中的溅射装置整体结构的概要图;
图2为表示表示本发明的实施方式中的溅射室的维护机构的移动的说明图;
图3为本发明的实施方式中的托架上放置玻璃基板时的立体图;
图4为本发明的实施方式中的输送机的侧视图;
图5为表示本发明的实施方式中的输送机的下部支撑机构的结构的立体图;
图6为表示本发明的实施方式中的输送机的上部支撑机构的结构的概要图;
图7为表示本发明的实施方式中的输送机的框架结构的立体图;
图8为表示本发明的实施方式中的输送机的框架结构的俯视图;
图9为图8中的A部分的放大侧视图;
图10为本发明的实施方式中的输送机的上部框架移动时的概要说明图;
图11为表示本发明的实施方式中的溅射室的侧面放倒时的与下部框架的位置关系的概要说明图;
图12为表示本发明的实施方式中的输送机的上部支撑结构的其他结构的概要图;
图13为表示现有的成膜装置的整体结构的概要图;
符号说明
10成膜装置,11玻璃基板(基板),13托架,15托架输送路径,17成膜处理路径,34溅射室(溅射处理装置),40输送机,41框架,43下部支撑机构,45上部支撑机构,47下部框架,49上部框架,55垂直轴,59第一滚轮,61第二滚轮,80阴极部件(构成部件,靶的支撑部件),FL底面
具体实施方式
接下来,根据图1~图12对本发明的实施方式进行说明。用于以下说明的各附图中,为了成为能够识别各部件的大小,适宜地改变了各部件的比例。
(成膜装置)
图1为表示成膜装置整体结构的俯视图。
如图1所示,成膜装置10包括:配置有纵向保持玻璃基板11的托架13并在大气压下输送该托架13的托架输送路径15,和在真空状态下在玻璃基板11上成膜的成膜处理路径17。在此,成膜装置10是两面成膜方式的成膜装置,即,托架13在成膜处理路径17中能够两列并行输送,在后述的溅射室34能够从两侧成膜。
成膜处理路径17按照顺序包括:与第二旋转部23连接并具有真空排气装置29的加载(ロ一ドロツク)室31、具有加热器30的加热室32、调整在行进方向上相邻的托架13之间的距离的溅射入口室33、在玻璃基板11上进行成膜的溅射室34、调整在行进方向上相邻的托架13之间的距离的溅射出口室35、具有真空排气装置29的卸载(アンロ一ドロツク)室36。卸载室36与托架输送路径15的第三旋转部24连接。
此外,第二旋转部23和加载室31之间设置有闸阀38a,同样,分别在加载室31和加热室32之间设置有闸阀38b,在加热室32和溅射入口室33之间设置有闸阀38c,在溅射出口室35和卸载室36之间设置有闸阀38d,在卸载室36和第三旋转部24之间设置有闸阀38e。
另外,溅射室34的维护机构71被构成为使溅射室34中的与输送托架13的成膜处理路径17平行的侧面72放倒。在侧面72上,配置有作为靶的支撑部件的阴极部件80和防止薄膜附着在溅射室34内的防附着板等。当通过靶的更换等维护阴极部件80时,通过维护机构71使侧面72在放倒的状态下进行。
图2为表示维护机构71的概要结构的说明图。
如图2所示,侧面72的下部延伸地设置有腿73,在腿73的下端附近通过旋转轴74与溅射室34的下部结构体75相连。侧面72上一体形成有多根腿76(本实施方式中为4根)。腿76由动力缸构成,其轴部82通过旋转轴84与下部构造体75相连。而且,轴部82通过动力缸能够伸缩。
回到图1,托架输送路径15包括:用于将从别处输送来的玻璃基板11放入成膜装置10中的入口部21、使玻璃基板11旋转并引导到成膜处理路径17中的第一旋转部22和第二旋转部23、使在成膜处理路径17内完成成膜的玻璃基板11旋转并引导到后述的出口部27中的第三旋转部24和第四旋转部25、输送成膜后的玻璃基板11的输送部26、以及将成膜后的玻璃基板11从成膜装置取出的出口部27。
托架输送路径15被保持在大气压下的环境中,为了能够避免微粒发生、维持高的清洁度而使用HEPA过滤器,产生向下流动的气流来保持托架输送路径15内的气氛。
此外,玻璃基板11从别处以水平状态输送过来,在入口部21将玻璃基板大致垂直地立起,之后,搭载到托架13上。在出口部27通过与入口部21相反的步骤将玻璃基板11从托架13取下。
图3为表示托架13的概要结构的立体图。
如图3所示,托架13具有由铝等制成的框状的托架框架65、沿着托架框架65的上边设置的磁体66、沿着托架框架65的下边设置的由圆杆形成的滑件67、用于承受玻璃基板11的载重且保持玻璃基板11的水平度的基板接纳件68、用于使玻璃基板11保持在托架13上的夹紧件69、以及用于覆盖玻璃基板11周边的非成膜区域的掩盖物70。
而且,搭载有玻璃基板11的托架13能够在托架输送路径15和成膜处理路径17上移动。
(输送机)
图4为输送机40的剖面图。
如图4所示,输送机40具有:由钢制部件组成的框架41、能够在支撑托架13的载重并输送托架13的下部支撑机构43、以及能够非接触地支撑托架13的上部的上部支撑机构45。
在此,框架41包括:设置有下部支撑机构43的下部框架47和设置有上部支撑机构45的上部框架49。托架13通过下部支撑机构43和上部支撑机构45,能够在水平方向上且保持在大致垂直的状态下,在框架41内移动。随着玻璃基板11的大型化,框架41被形成为例如高4600mm,宽600mm(仅框架部分)程度的大小。
图5是表示输送机40的下部支撑机构43的结构的立体图。
如图5所示,下部支撑机构43具有电动机51和滚轮52。通过电动机51驱动,滚轮52旋转,托架13在滚轮52上水平移动。具体地,设置在托架13下部的后述的滑件67与滚轮52外周的槽部配合,托架13能够水平移动。为了使托架13的水平移动无障碍,在一个下部框架47内设置多个滚轮52。
图6是表示输送机40的上部支撑机构45的结构的说明图。
如图6所示,在上部支撑机构45,在上部框架49的上部且从托架13的上边通过的区域向侧方隔着间隔地设置有一对磁体53a、53b。一对磁体53a、53b以彼此的N极和S极相对地安装。此外,一对磁体53a、53b在上部框架49内设置有多对。而且,在托架13的上边也安装有后述的磁体66,构成为磁体66通过上部框架49的一对磁体53a、53b之间,且被配置为磁体53a的N极和磁体66的N极相对,磁体53b的S极和磁体66的S极相对。
通过如此构成,磁体之间互相排斥,从而使保持托架13的垂直状态成为可能。也就是,通过保持玻璃基板11垂直,能够抑制随着玻璃基板11的大型化而产生的成膜装置10的设置面积的增大,并能够避免大型基板的挠曲所产生的影响。
回到图4,具有下部支撑机构的下部框架47被固定在底面FL上。也就是,在成膜装置10的设置阶段,下部支撑机构43被调整位置使托架13能够可靠地水平移动,并且,相邻的框架41的下部支撑机构43也被调整位置,一旦调整后位置不再偏移。
下部支撑机构43利用滚轮52输送托架13,由于滚轮52承受搭载玻璃基板11的托架13的载重并在水平方向上进行输送,因此在输送路线上如果稍微发生偏移,将会发生托架13在输送时震动,由此产生微粒,成品率降低等不好的情况。因此,对下部支撑机构43有位置精度的要求,优选一旦位置调整之后,就固定在该位置上。
图7为输送机40的框架结构的立体图。
如图7所示,上部框架49相对下部框架47的一根垂直轴55能够旋转地被连接,并配置在下部框架47的正上方。此外,在上部框架49的旋转方向面前侧的侧面56上,设置有使上部框架49旋转时使用的把手57。而且,与把手57连接地向着底面FL延伸有腿58,在腿58的前端设置有与底面FL相接触的第一滚轮59。
回到图4,在与安装有第一滚轮59的侧面(旋转方向面前侧的面)56相对的侧面60上设置有第二滚轮61。第二滚轮61设置在腿63的前端,腿63通过旋转轴62与上部框架49相连。第二滚轮61被构成为,当使上部框架49旋转时,能够移动到不与下部框架47相干涉的位置上。在此,第二滚轮61通过旋转轴62,在垂直剖面上描绘出近似半圆状而旋转,且与底面FL相接触。在第二滚轮被收纳的状态下,第二滚轮通过未图示的止动部件被保持在上部框架49上。虽然图4中的第二滚轮61是通过以旋转轴62为中心旋转而被收纳的结构,但是因为第二滚轮61只要在使上部框架49移动时能够移动到与下部框架47不干涉的位置上,且能够精度良好地回到原来的位置上就可以,所以也可以是例如能够将腿63向铅直上方平行移动而收纳的结构,也可以使用定位用的连接器等使腿63可以装卸。此外,第二滚轮61由于还具有在输送托架13时(上部框架49配置在下部框架47的正上方的状态)及旋转上部框架49时承受其载重的作用,优选安装在远离垂直轴55的位置上。
图8为表示输送机40的框架结构的俯视图,图9为图8的A部详细侧视图。
如图8、图9所示,在上部框架49的侧面60侧,与下部框架47的交界部上设置有承重导向滚轮64。承重导向滚轮64设置在侧面60的两侧,当上部框架49位于下部框架47的上部时(通常状态),与从下部框架47向侧方突出而一体形成的承重部86相接触。承重导向滚轮64与承重部86接触,由此将上部框架49的载重的一部分传递给下部框架47,成为被下部框架47支撑。通过如此构成,上部框架49在通常状态下,其四个角由第一滚轮59、第二滚轮61、垂直轴55和承重导向滚轮64支撑,能够保持稳定的状态。
此外,上部框架49和下部框架47之间,还设置有未图示的卡定部。卡定部例如具有手柄,通过旋转(拧)手柄,设置在上部框架49或下部框架47的一方上的轴插入到形成在另一方的孔中,将上部框架49和下部框架47卡定,从而进行对位。
进一步地,在上部框架49和下部框架47之间形成有20mm左右的间隙,在该间隙中安装有未图示的防尘橡胶。防尘橡胶可以使用例如剖面为半圆形状的防尘橡胶。通过如此设置,能够使框架的防尘性能提高。
而且,为了防止旋转过度,在上部框架49的侧面56上设置有与下部框架47抵接而阻止过度的旋转的止动部件78(参照图4)。止动部件78与下部框架47抵接后,上部框架49和下部框架47之间利用卡定部卡定,从而完成上部框架49与下部框架47对位。
(基板成膜方法)
接下来,根据图1等对在玻璃基板11进行成膜时的作用进行说明。
玻璃基板11从别处以水平状态被输送来到成膜装置10的入口部21前。之后,将玻璃基板11立起为垂直状态,并将玻璃基板11搭载到放置于入口部21中的托架13上。此时,使玻璃基板11与托架13的基板接纳件68相抵接之后,通过夹紧件69将玻璃基板11保持在托架13上(参照图3)。
搭载有玻璃基板11的托架13,通过下部支撑机构43的滚轮52的旋转开始移动(参照图5),并被输送到第一旋转部22。在第一旋转部22,在托架13放置于旋转台79的状态下,首先以垂直轴为中心旋转托架13大约90度,然后输送到第二旋转部23。在第二旋转部23,在旋转台79上使托架13进一步以垂直轴为中心旋转大约90度,使其成为能够向成膜处理路径17的加载室31输送的方向。而且,在闸阀38a成为打开状态后,将托架13向加载室31输送。
托架13被输送到加载室31后,使闸阀38a成为关闭状态,之后,利用真空排气装置29使室内排气,使加载室31成为真空状态。加载室31内成为真空状态后,使闸阀38b成为开启状态,将托架13向加热室32输送。
托架13被输送到加热室32后,使闸阀38b成为关闭状态,之后,通过加热器30加热玻璃基板11。玻璃基板11加热完成后,使闸阀38c成为开启状态,将托架13向溅射入口室33输送。
托架13被输送到溅射入口室33后,使闸阀38c成为关闭状态。之后,使托架13移动到与位于其前方的托架的后端相连接的位置上。在托架13位于前方的托架的后端的阶段,使其成为与前方的托架相同的速度。通过如此构成,在溅射室34能够连续溅射玻璃基板11,所以能够高效地成膜。
在这种状态下,托架13被输送到溅射室34。在溅射室34,利用通常的溅射方法对玻璃基板11实施成膜。在此,由于玻璃基板11的周边配置有掩盖物70(参照图3),所以仅在玻璃基板11的必要区域上成膜。成膜完成后托架13被输送到溅射出口室35。
托架13被输送到溅射出口室35后,提高托架13的速度,拉开与后方相连接的托架之间的间隔。之后,使闸阀38d成为开启状态,将托架13向卸载室36输送。此时,卸载室36被保持在真空状态。
托架13被输送到卸载室36后,使闸阀38d成为关闭状态。之后,托架13被输送到卸载室36内,使闸阀38e成为开启状态,将托架13在大气压下向第三旋转部24输送。
之后,被输送到第三旋转室24的托架13,在旋转台79上以垂直轴为中心旋转大约90度,向第四旋转部25输送。在第四旋转部25,使托架13在旋转台79上以垂直轴为中心旋转大约90度,成为能够向输送部26输送的方向之后,将托架13向输送部26输送。托架13在输送部26内被输送,被引导到出口部27。
托架13被输送到出口部27后,将搭载在托架13上的成膜后的玻璃基板11取出,将玻璃基板11输送到下一道工序的装置。
在此,该成膜装置10被构成为,成膜处理路径17平行地形成有两条,在溅射室34中,能够从两侧成膜。也就是说,在溅射室34中能够平行地配置两组托架13,且能够对搭载在各自托架13上的玻璃基板11同时成膜。成膜处理路径17也可以仅形成一条。
在这种成膜装置10中,在溅射室34的两侧面72上设置有靶的阴极部件80和用于防止向溅射室34内附着薄膜的防附着板等。阴极部件80和防附着板需要进行更换和定期检查等维护。此时,将溅射室34的侧面72放倒在底面上,将安装有靶等的面置于水平状态下,进行维护。
(溅射室的维护方法)
对于维护溅射室34的阴极部件80时的机构进行说明。
如图2所示,为了维护设置在溅射室34的侧面72上的阴极部件80,将侧面72放倒使其与底面FL大致平行。
在将侧面72放倒时,侧面72以旋转轴74为中心倒下,直到侧面72放倒成水平状态。此时,连接在与侧面72一体形成的腿76的轴部82一边以旋转轴84为中心旋转,且一边通过动力缸伸展的同时,侧面72被放倒下去。当腿76的前端位于底面FL上时,能够支撑配置有阴极部件80的侧面72的载重的同时,保持其水平。
(框架的动作方法)
接下来,对于使溅射室34的维修机构71工作时的框架41的动作,使用图10进行说明。
图10为输送机的上部框架移动时的概要说明图。
如图10所示,将卡定框架41的上部框架49和下部框架47的未图示的卡定部解开,将上部框架49的把手57拉向面前侧时,上部框架49以连接于上部框架49和下部框架47之间的垂直轴55为中心旋转而移动。此时,上部框架49的载重由垂直轴55和第一滚轮59支撑。而且,当使上部框架49旋转直到安装有第二滚轮61的部位在俯视中为与下部框架47不干涉的位置之后,解开第二滚轮61的未图示的止动件,以第二滚轮61接触底面FL并以旋转轴62为中心旋转。在这种状态下,进一步使上部框架49旋转,旋转大约90度。此时上部框架49的载重由垂直轴55、第一滚轮59和第二滚轮61三点支撑,上部框架49能够不由于自重摇晃地旋转。
图11为表示溅射室的侧面72放倒时的与下部框架47的位置关系的概要说明图。
如图11所示,移动上部框架49,则在下部框架47的上方形成有空间77。溅射室34的侧面72被放倒到该空间77中,被配置为在俯视中与下部框架47重叠(参照图1)。进一步地,构成为下部框架47和侧面72在上下方向(垂直方向)上不干涉,即侧面72配置在下部框架47的上方。从而,没有必要将成膜处理路径17和托架输送路径15配置成,被放倒的溅射室34的侧面72和托架输送路径15在俯视中不重合(参照图13),从而使成膜处理路径17和托架输送路径15之间的距离靠近成为可能(参照图1)。也就是说,由于可以使形成于成膜装置10中的内部区域81狭窄,成膜装置10的设置面积狭小化成为可能。在此,由动力缸构成的腿76被设计成为能够进入下部框架47的下部。
侧面72的维护完成后,将侧面72返回原来的位置,之后,使上部框架49旋转并回到原来的位置。此时,如果第二滚轮61在与下部框架47干涉的位置上,则先暂时向上部框架49一侧移动(收纳),再使上部框架49压向下部框架47而旋转。另外,在上部框架49上设置有通过与下部框架47抵接而无法过度旋转的止动部件78,用于不使上部框架49旋转过度(参照图4)。止动部件78与下部框架47抵接之后,通过上部框架49和下部框架47之间利用卡定部卡定,从而成为托架13能够在框架41内输送的状态。此后,将移动到上部框架49一侧的第二滚轮61再次移动,为了支撑上部框架49的载重与底面FL相接触。
此外,能够如此旋转的框架41,可以配置在适合溅射室34的侧面72的宽度的位置上,当侧面72具有以一个框架41是无法对应的大小的宽度时,以使两个框架41能够旋转且可以从中心向左右打开来配置框架41,从而能够以两个框架的宽度来对应。
根据本实施方式,在具有框架41、支撑纵向放置有玻璃基板11的托架13并输送托架13的下部支撑机构43、和支撑托架13的上部支撑机构45的输送机40中,框架41由下部框架47和上部框架49构成,下部支撑机构43设置在下部框架47上,上部支撑机构45设置在上部框架49上,并构成为上部框架49能够与下部框架47分离而移动。
此外,下部支撑机构43安装在下部框架47内,由下部支撑机构43支撑托架13的载重并输送托架13,因此下部支撑机构43的输送路线在精度良好地被定位的状态下,可以精度良好地输送托架。因此,能够防止搭载于托架13上的玻璃基板11破裂、从托架13产生微粒和输送干扰。
此外,下部框架47固定在底面FL上,上部框架49与下部框架47的固定在底面上的垂直轴55相连接,并能够以垂直轴55为中心旋转。
此时,下部支撑机构43是被固定的状态,可以仅使上部支撑机构45旋转。因此,可以维持下部支撑机构43的精度。此外,由于上部框架49被构成为能够以将下部框架47固定在底面FL上的垂直轴55为中心旋转,因此下部框架47的上方能够容易地形成空间77,并能够使上部框架49简单地返回到下部框架47的上方而定位。
此外,上部支撑机构45构成为非接触地支撑托架13。
由于这种构成,即使上部框架49(上部支撑机构45)的位置稍微偏离,也不会对托架13的输送产生影响,能够可靠地输送托架13。
此外,在上部框架49的旋转方向面前侧的侧面56上,相对底面FL设置有支撑上部框架49并沿着底面FL转动的第一滚轮59,在上部框架49的旋转方向里侧的侧面60上,相对底面FL设置有支撑上部框架49并沿着底面FL转动的第二滚轮61,在上部框架49移动时使第二滚轮能够移动到不与下部框架47相干涉的位置上。具体地,将第二滚轮61设置在腿63的前端,腿63通过旋转轴62与上部框架49相连。在使上部框架49旋转时,第二滚轮61通过旋转轴62以在垂直剖面上描绘出近似半圆形状而旋转,并将第二滚轮61向上方抬起,能够移动到不与下部框架47相干涉的位置上。
此时,使上部框架49旋转时,由于可以使上部框架49的载重通过与下部框架47连接的垂直轴55、第一滚轮59和第二滚轮61三点支撑在底面FL上,上部框架49不会因自重而倾斜,可以可靠地旋转。此外,由于第二滚轮61能够与下部框架47不干涉地移动,因此当旋转上部框架49时,仅在与下部框架47干涉时移动第二滚轮61,其他时候可以用于相对底面FL支撑框架49,可以高效地使用。
进一步地,在具有纵向支撑并输送玻璃基板11的托架13、在搭载于托架13上的玻璃基板11上进行成膜处理的成膜处理路径17和与成膜处理路径17并列配置的托架输送路径15,且设置在成膜处理路径17的溅射室34的侧面72上的阴极部件80能够以向托架输送路径15放倒而移动的成膜装置10中,托架输送路径15上设置有与具有托架13的下部支撑机构43的下部框架47相分离,并具有托架13的上部支撑机构45的上部框架49,该上部框架49能够移动到可以回避与移动的阴极部件80之间干涉的位置(退避位置)。
此时,移动上部框架49,可以在下部框架47的上方形成空间77,并可以将移动后的溅射室34的侧面72上设置的阴极部件80配置在该空间77内,因此可以使成膜处理路径17和托架输送路径15的距离靠近。因此,成膜装置10的设置面积能够狭小化。
而且,当维护设置在溅射室34的侧面72上的阴极部件80时,由于通过旋转上部框架49,能够将阴极部件80配置在形成于下部框架47上方的空间77内,可以使成膜处理路径17和托架输送路径15的间隔狭窄。因此,可以使成膜装置10的内部区域81狭窄,所以可以使具有成膜处理路径17和托架输送路径15的成膜装置10的设置面积狭小化。
本发明的技术范围不限于上述实施方式,在不脱离本发明的精神的范围内,包括对上述实施方式进行的各种变更。即,实施方式所举出的具体材料和结构等都只是一个例子,可以进行适当变更。
例如,虽然在本实施方式中,使上部支撑机构45为设置在上部框架49上的磁体与设置在托架13上的磁体相互排斥的结构,但如图12所示,也可以配置成,使设置在上部框架49上的一个磁体53和设置在托架13上的磁体66在垂直方向上相对,并且磁体53、66双方相互吸引,使托架13能够保持为大致垂直。
另外,在本实施方式中,虽然对成膜处理路径采用两面成膜方式的情况作了说明,但本发明也适用于,在单面成膜方式中,将设置在溅射室的侧面上的阴极部件向托架输送路径侧放倒并进行维护的成膜装置。
产业上的利用可能性
根据本发明的输送机和成膜装置,可以使其设置面积狭小化。

Claims (7)

1.一种输送机,具有:
框架,
支撑纵向放置有基板的托架并输送所述托架的下部支撑机构,和
支撑所述托架的上部支撑机构,该输送机的特征在于,
所述框架由下部框架和上部框架构成,
所述下部支撑机构设置在下部框架上,所述上部支撑机构设置在上部框架上,所述上部框架能够与所述下部框架分离而移动。
2.根据权利要求1所述的输送机,其中,
所述下部框架被支撑固定在底面上,
所述上部框架与将所述下部框架支撑在底面的垂直轴的任意一个相连接,并能够以所述垂直轴为中心旋转。
3.根据权利要求1所述的输送机,其中,所述上部支撑机构非接触地支撑所述托架。
4.根据权利要求2所述的输送机,其中,
在所述上部框架的旋转方向面前侧,相对所述底面设置有支撑所述上部框架并沿着所述底面转动的第一滚轮;
所述上部框架的旋转方向里侧上,相对所述底面设置有支撑所述上部框架并沿着所述底面转动的第二滚轮;
所述第二滚轮能够移动到,当所述上部框架旋转时不与所述下部框架相干涉的位置上。
5.一种成膜装置,具有:
纵向支撑并输送基板的托架,
在搭载于所述托架上的所述基板上进行成膜处理的成膜处理路径,和
与所述成膜处理路径并列配置的托架输送路径,
所述成膜处理路径的构成部件能够向着所述托架输送路径移动,其中,
所述托架输送路径上设置有权利要求1~4中的任一项所述的输送机,
所述上部框架能够移动到能够回避与移动后的所述成膜处理路径的构成部件之间干涉的位置上。
6.根据权利要求5所述的成膜装置,所述成膜处理路径的构成部件是溅射处理装置的靶的支撑部件。
7.一种权利要求5所述的成膜装置的维护方法,该维护方法包括:将所述上部框架从所述下部框架分离并移动到退避位置的工序;和将所述成膜处理路径的构成部件放倒在所述下部框架的上方,对所述成膜处理路径的构成部件进行维护的工序。
CN2008800091639A 2007-04-16 2008-04-15 输送机和成膜装置及其维护方法 Active CN101641272B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007106877 2007-04-16
JP106877/2007 2007-04-16
PCT/JP2008/057339 WO2008129983A1 (ja) 2007-04-16 2008-04-15 コンベアおよび成膜装置とそのメンテナンス方法

Publications (2)

Publication Number Publication Date
CN101641272A CN101641272A (zh) 2010-02-03
CN101641272B true CN101641272B (zh) 2011-11-16

Family

ID=39875511

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800091639A Active CN101641272B (zh) 2007-04-16 2008-04-15 输送机和成膜装置及其维护方法

Country Status (6)

Country Link
US (1) US8740205B2 (zh)
JP (1) JP4839405B2 (zh)
KR (1) KR101181503B1 (zh)
CN (1) CN101641272B (zh)
TW (1) TWI425587B (zh)
WO (1) WO2008129983A1 (zh)

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8740205B2 (en) * 2007-04-16 2014-06-03 Ulvac, Inc. Conveyor and deposition apparatus, and maintenance method thereof
DE102008015982B3 (de) * 2008-03-27 2009-07-30 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zur Fixierung und den Weitertransport stoßempfindlicher Platten in Sputter-Beschichtungsanlagen, Computerprogramm zur Durchführung des Verfahrens und maschinenlesbarer Träger hierzu
JP5274148B2 (ja) * 2008-08-19 2013-08-28 東京エレクトロン株式会社 処理システム
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8842357B2 (en) 2008-12-31 2014-09-23 View, Inc. Electrochromic device and method for making electrochromic device
KR101064586B1 (ko) 2008-12-31 2011-09-15 (주)구일엔지니어링 측면 투입식 슬라이드형 엘씨엠 운반용 거치대
JP5393209B2 (ja) * 2009-03-11 2014-01-22 株式会社アルバック 成膜装置
US9664974B2 (en) 2009-03-31 2017-05-30 View, Inc. Fabrication of low defectivity electrochromic devices
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
DE102009018393B4 (de) * 2009-04-22 2017-05-24 Atotech Deutschland Gmbh Verfahren, Haltemittel, Vorrichtung und System zum Transportieren eines flächigen Behandlungsgutes und Be- oder Entladeeinrichtung
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5731838B2 (ja) * 2010-02-10 2015-06-10 キヤノンアネルバ株式会社 トレイ式基板搬送システム、成膜方法及び電子装置の製造方法
JP5498868B2 (ja) * 2010-06-18 2014-05-21 株式会社アルバック 真空装置、真空処理装置
CN102674006A (zh) * 2011-03-14 2012-09-19 无锡康力电子有限公司 玻璃镀膜机用装片架
WO2012140799A1 (ja) * 2011-04-11 2012-10-18 株式会社アルバック 成膜装置
WO2012140801A1 (ja) * 2011-04-15 2012-10-18 株式会社アルバック 被処理体の搬送機構
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103043441A (zh) * 2011-10-14 2013-04-17 黄正栋 传动装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR102095605B1 (ko) 2011-12-12 2020-04-16 뷰, 인크. 박막 디바이스 및 제조
TWI473202B (zh) * 2011-12-19 2015-02-11 Ind Tech Res Inst 承載裝置及應用其之基材卸載方法
JP5545498B2 (ja) * 2011-12-21 2014-07-09 株式会社ダイフク 物品保管設備及び物品保管設備におけるメンテナンス方法
JP6011066B2 (ja) * 2012-06-28 2016-10-19 住友電気工業株式会社 半導体装置の製造方法
JP2014015633A (ja) * 2012-07-05 2014-01-30 Sumitomo Heavy Ind Ltd 成膜装置、及び成膜装置用搬送トレイ
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6234731B2 (ja) * 2013-08-08 2017-11-22 上村工業株式会社 クランパーを備える保持具
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP6541572B2 (ja) * 2013-10-29 2019-07-10 堺ディスプレイプロダクト株式会社 板材支持体及び搬送装置
JP6303024B2 (ja) * 2013-12-23 2018-03-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 真空プロセス下で基板を保持する保持アレンジメント、基板上に層を堆積する装置、及び保持アレンジメントを搬送する方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN105083980B (zh) * 2015-06-10 2017-12-01 合肥京东方光电科技有限公司 溅射设备及其基板承载装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
WO2017050350A1 (en) * 2015-09-21 2017-03-30 Applied Materials, Inc. Substrate carrier, and sputter deposition apparatus and method using the same
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US20190376177A1 (en) * 2016-11-23 2019-12-12 Corning Incorporated Vertical substrate holder
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6336146B2 (ja) * 2017-01-24 2018-06-06 株式会社アルバック インライン式成膜装置、および、成膜方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
WO2019037873A1 (en) * 2017-08-25 2019-02-28 Applied Materials, Inc. LIFTING OR LOWERING ASSEMBLY OF A SUPPORT, APPARATUS FOR TRANSPORTING A SUPPORT IN A VACUUM CHAMBER, AND METHOD OF LIFTING OR LOWERING A SUPPORT
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109592414A (zh) * 2019-01-29 2019-04-09 东莞奔迅汽车玻璃有限公司 双片玻璃上片机
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
CN110453200A (zh) * 2019-08-16 2019-11-15 星弧涂层新材料科技(苏州)股份有限公司 片材纵置运输小车及气相沉积设备
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11945660B2 (en) * 2021-08-09 2024-04-02 Applied Materials, Inc. Linear sorter using vacuum belt
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1437048A (zh) * 2002-02-06 2003-08-20 Lg.菲利浦Lcd株式会社 制造液晶显示器的设备和方法,使用该设备的方法,用该方法生产的器件

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3155383A (en) * 1962-10-11 1964-11-03 Link Division Of General Prec Precision positioning apparatus
JPS55134414A (en) * 1979-04-06 1980-10-20 Hitachi Ltd Precise moving unit
KR0129662B1 (ko) * 1987-10-30 1998-04-07 고다까 토시오 이동 테이블 장치
JPH01268870A (ja) 1988-04-18 1989-10-26 Anelva Corp 縦トレイ搬送式スパッタ装置
US5623853A (en) * 1994-10-19 1997-04-29 Nikon Precision Inc. Precision motion stage with single guide beam and follower stage
JP3732250B2 (ja) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
JP3709896B2 (ja) * 1995-06-15 2005-10-26 株式会社ニコン ステージ装置
US5760564A (en) * 1995-06-27 1998-06-02 Nikon Precision Inc. Dual guide beam stage mechanism with yaw control
JP2002309372A (ja) 2001-04-13 2002-10-23 Canon Inc インライン式成膜装置、成膜方法及び液晶素子
JP3794964B2 (ja) 2002-02-06 2006-07-12 三菱重工業株式会社 クラスタ型真空処理装置
US7448606B1 (en) * 2003-12-04 2008-11-11 Innovative Tools & Technologies, Inc. Large automotive panel paint rack
US7377502B2 (en) * 2004-02-29 2008-05-27 Ljubomir Nikolic Universal vehicle engine, gearbox and like stand
DE102005009096A1 (de) * 2005-02-22 2006-08-24 Maschinenfabrik Spaichingen Gmbh Vorrichtung zum Bearbeiten von Kunststoff enthaltenden Werkstücken
US8740205B2 (en) * 2007-04-16 2014-06-03 Ulvac, Inc. Conveyor and deposition apparatus, and maintenance method thereof
US7959141B2 (en) * 2008-12-23 2011-06-14 Sumitomo Heavy Industries, Ltd. Stage apparatus

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1437048A (zh) * 2002-02-06 2003-08-20 Lg.菲利浦Lcd株式会社 制造液晶显示器的设备和方法,使用该设备的方法,用该方法生产的器件

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
JP特开2003-229468A 2003.08.15
JP特开2005-325433A 2005.11.24
JP特开平1-268870A 1989.10.26
JP特开平8-274142A 1996.10.18

Also Published As

Publication number Publication date
TW200848339A (en) 2008-12-16
WO2008129983A1 (ja) 2008-10-30
US8740205B2 (en) 2014-06-03
JP4839405B2 (ja) 2011-12-21
KR20090117822A (ko) 2009-11-12
US20100126415A1 (en) 2010-05-27
TWI425587B (zh) 2014-02-01
KR101181503B1 (ko) 2012-09-10
CN101641272A (zh) 2010-02-03
JPWO2008129983A1 (ja) 2010-07-22

Similar Documents

Publication Publication Date Title
CN101641272B (zh) 输送机和成膜装置及其维护方法
CN101980935B (zh) 用于在溅射涂膜系统中固定和运输对撞击敏感的片的方法及装置
US8360226B2 (en) Method and apparatus for the contamination-free treatment of shock-sensitive glass plates in ultra clean rooms
US8312981B2 (en) Method and device for conveying and rotating impact-sensitive panels in ultra clean rooms
US9087865B2 (en) Substrate transferring system and substrate transferring method
US7497317B2 (en) Apparatus for conveying and raising objects
KR101119748B1 (ko) 유기 el 디바이스 제조 장치 및 유기 el 디바이스 제조 방법 및 성막 장치 및 성막 방법
KR101296416B1 (ko) 유기 el 디바이스 제조 장치, 성막 장치 및 그들의 성막 방법, 액정 표시 기판 제조 장치와 얼라이먼트 장치 및 얼라이먼트 방법
US20100272550A1 (en) Substrate holding mechanism, substrate delivering/receiving mechanism, and substrate processing apparatus
CN101827766A (zh) 基板处理装置
KR101092163B1 (ko) 유기 el 디바이스 제조 장치 및 유기 el 디바이스 제조 방법 및 성막 장치 및 성막 방법
KR20140021832A (ko) 기판이송모듈
CN103283011B (zh) 成膜装置
US8747627B2 (en) Method and device for reversing the feeding of sputter coating systems in clean rooms
KR20140021313A (ko) 기판이송모듈 및 기판이송방법
CN103247522A (zh) 离子注入方法、输送容器及离子注入装置
CN209554337U (zh) 一种用于lcd物流上下料设备及检测的旋转平台
KR20070100007A (ko) 기판 이송장치
KR20080002372A (ko) 서셉터 및 이를 구비한 기판이송장치
KR20140021316A (ko) 기판이송모듈
KR20140021831A (ko) 기판이송모듈
KR20140003717A (ko) 선입, 선출이 가능한 기판 버퍼장치 및 그 구동방법
KR101352929B1 (ko) 글라스 처짐이 방지된 인라인 진공장치
CN109154067A (zh) 在基板上沉积一种或多种材料的真空系统和方法
KR101554463B1 (ko) 진공 완충 챔버가 구비된 선형 증착 시스템

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant