CN101135046A - 半导体处理用的成膜方法和装置 - Google Patents

半导体处理用的成膜方法和装置 Download PDF

Info

Publication number
CN101135046A
CN101135046A CNA200710147867XA CN200710147867A CN101135046A CN 101135046 A CN101135046 A CN 101135046A CN A200710147867X A CNA200710147867X A CN A200710147867XA CN 200710147867 A CN200710147867 A CN 200710147867A CN 101135046 A CN101135046 A CN 101135046A
Authority
CN
China
Prior art keywords
gas
treatment zone
supply
film
circulation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200710147867XA
Other languages
English (en)
Other versions
CN101135046B (zh
Inventor
长谷部一秀
周保华
梅泽好太
门永健太郎
张皓翔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101135046A publication Critical patent/CN101135046A/zh
Application granted granted Critical
Publication of CN101135046B publication Critical patent/CN101135046B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/22Surface treatment of glass, not in the form of fibres or filaments, by coating with other inorganic material
    • C03C17/225Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2217/00Coatings on glass
    • C03C2217/20Materials for coating a single layer on glass
    • C03C2217/28Other inorganic materials
    • C03C2217/281Nitrides
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2217/00Coatings on glass
    • C03C2217/20Materials for coating a single layer on glass
    • C03C2217/28Other inorganic materials
    • C03C2217/282Carbides, silicides
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2217/00Coatings on glass
    • C03C2217/20Materials for coating a single layer on glass
    • C03C2217/28Other inorganic materials
    • C03C2217/283Borides, phosphides
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd

Abstract

本发明提供一种半导体处理用的成膜方法,在能够有选择地供给含有硅烷系气体的第一处理气体、含有氮化气体或氧氮化气体的第二处理气体、含有含硼的气体的第三处理气体和含有碳氢化合物气体的第四处理气体的处理区域内,通过CVD在被处理基板上形成绝缘膜。在第一工序中,供给第一处理气体、和第三与第四处理气体中之一的先行气体,另一方面,停止供给第二处理气体、和第三与第四处理气体中的另一种的后行气体。在第二工序中,供给后行气体,另一方面,停止供给第二处理气体和先行气体。在第三工序中,供给第二处理气体,另一方面,停止供给第一处理气体。

Description

半导体处理用的成膜方法和装置
技术领域
本发明涉及在半导体晶片等被处理基板上形成绝缘膜的半导体处理用的成膜方法和装置。这里,所谓半导体处理意味着,通过在晶片或LCD(Liquid Crystal Display:液晶显示器)等FPD(Flat Panel Display:平板显示器)用的玻璃基板等被处理基板上以规定的图案形成半导体层、绝缘层和导电层等,为了在该被处理基板上制造半导体设备和包括与半导体设备连接的配线、电极等构件而实施的各种处理。
背景技术
在构成半导体集成电路的半导体设备的制造中,在被处理基板例如半导体晶片上,进行成膜、蚀刻、氧化、扩散、改性、退火、除去自然氧化膜等各种处理。US 2006/0286817 A1中公开了立式(所谓分批式的)热处理装置中的这种半导体处理方法。在该方法中,首先,将半导体晶片从晶片盒移载至立式的晶舟上,分多级进行支承。在晶片盒中能够收容例如25片晶片,在晶舟上能够载置30~150片晶片。然后,将晶舟从处理容器的下方装入其内部,并气密地封闭处理容器。接着,在控制处理气体的流量、处理压力、处理温度等各种处理条件的状态下,进行规定的热处理。
为了提高半导体集成电路的特性,提高半导体设备的绝缘膜的特性是非常重要的。作为半导体设备中的绝缘膜,使用SiO2、PSG(PhosphoSilicate Glass:磷硅酸盐玻璃)、P(利用等离子体CVD形成)-SiO、P(利用等离子体CVD形成)-SiN、SOG(Spin On Glass:旋涂玻璃)、Si3N4(氮化硅膜)等。特别是氮化硅膜,由于其绝缘特性比氧化硅膜好,并且作为蚀刻阻挡膜或层间绝缘膜能够充分地发挥作用,所以有大量使用的趋势。并且,由于同样的理由,也经常使用搀杂有硼的氮化碳膜。
作为在半导体晶片的表面上形成上述氮化硅膜的方法,已知使用甲硅烷(SiH4)、二氯硅烷(DCS:SiH2Cl2)、六氯乙硅烷(HCD:Si2Cl6)、二叔丁基氨基硅烷(BTBAS:SiH2(NH(C4H9)2)等硅烷系气体作为硅源气体,通过热CVD(Chemical Vapor Deposition:化学气相积淀)进行成膜的方法。例如,使用SiH2Cl2+NH3(参照US 5874368A)或Si2Cl6+NH3等气体的组合,通过热CVD形成氮化硅膜。另外,为了减小介电常数,提出了在氮化硅膜中添加例如硼(B)作为杂质的方法。
近年来,伴随着半导体集成电路进一步的高集成化和高微细化的要求,希望减少半导体设备的制造工序中的热经历,提高设备的特性。在立式的处理装置中,也希望根据这种要求改良半导体处理方法。例如,在作为一种成膜处理的CVD(Chemical Vapor Deposition:化学气相积淀)中,有间歇地供给原料气体等、每1层或多层反复形成原子或分子水平厚度的层的方法(参照日本特开平2-93071号、日本特开平6-45256号公报、US 6165916A)。通常,这种成膜方法称为ALD(Atomiclayer Deposition:原子层积淀),由此,即使不将晶片暴露在那么高的温度下,也可以进行目的处理。
例如,在使用作为硅烷系气体的二氯硅烷(DCS)和作为氮化气体的NH3,形成氮化硅膜(SiN)的情况下,进行以下的处理。即,隔着吹扫期间,交替间歇地向处理容器内供给DCS和NH3气体。在供给NH3气体时施加RF(高频),由此在处理容器内生成等离子体,促进氮化反应。这里,首先向处理容器内供给DCS,以分子水平在晶片表面上吸附一层或多层DCS。剩余的DCS在吹扫期间中被排除。然后,供给NH3,生成等离子体,通过低温下的氮化,形成氮化硅膜。反复进行这种一系列工序,完成规定厚度的膜。
然而,在形成上述绝缘膜后,在其上形成其他薄膜的情况下,有机物或颗粒等污染物有可能会附着在上述绝缘膜的表面。因此,根据需要,以除去该污染物为目的,进行清洁处理。在这种情况下,将半导体晶片浸渍在稀氟酸等清洁液中,对绝缘膜表面进行蚀刻。由此,非常薄地削去绝缘膜的表面,除去污染物。
例如在760℃左右的高温下使上述绝缘膜CVD成膜的情况下,绝缘膜清洁时的蚀刻速度相当小。因此,清洁时该绝缘膜不会被过度地削去,能够在膜厚的控制性良好的状态下进行清洁处理。但是,在基底层上形成有耐热性低的薄膜的情况下,不能采用高温的热CVD处理。
与此相对,例如在400℃左右的低温下使上述绝缘膜ALD成膜的情况下,绝缘膜清洁时的蚀刻速度相当大。因此,会发生清洁时该绝缘膜被过度削去的情况,清洁处理时的膜厚的控制性劣化。
并且,如上所述,有时使用氮化硅膜作为蚀刻阻挡膜或层间绝缘膜。在这种情况下,需要充分减小氮化硅膜的蚀刻速度,但是采用现有的成膜方法,不能充分满足该要求。
发明内容
本发明的目的在于,提供一种用于形成绝缘膜的半导体处理用的方法和装置,该绝缘膜即使在较低温度下成膜,也能够使清洁时的蚀刻速度较小,能够提高清洁时膜厚的控制性,并且能够充分发挥作为蚀刻阻挡膜或层间绝缘膜的功能。并且,本发明为US 7125812 B2和US 2006/205231 A1所公开的发明的改良发明。
本发明的第一观点为一种半导体处理用的成膜方法,其特征在于,用于在能够有选择地供给含有硅烷系气体的第一处理气体、含有氮化气体或氧氮化气体的第二处理气体、含有含硼气体的第三处理气体和含有碳氢化合物气体的第四处理气体的处理区域内,通过CVD在被处理基板上形成绝缘膜,
反复进行多次下述循环,叠层在每个上述循环中形成的薄膜,由此形成具有规定厚度的上述绝缘膜,该循环交替地包括:
第一工序,向上述处理区域供给上述第一处理气体、和上述第三与第四处理气体之一的先行气体,另一方面,停止向上述处理区域供给上述第二处理气体、和上述第三与第四处理气体中另一种的后行气体;
第二工序,向上述处理区域供给上述后行气体,另一方面,停止向上述处理区域供给上述第二处理气体和上述先行气体;和
第三工序,向上述处理区域供给上述第二处理气体,另一方面,停止向上述处理区域供给上述第一处理气体。
本发明的第二观点为一种半导体处理用的成膜装置,其特征在于,包括:
具有收纳被处理基板的处理区域的处理容器;
在上述处理区域内支承上述被处理基板的支承部件;
对上述处理区域内的上述被处理基板进行加热的加热器;
对上述处理区域内进行排气的排气系统;
向上述处理区域供给含有硅烷系气体的第一处理气体的第一处理气体供给系统;
向上述处理区域供给含有氮化气体或氧氮化气体的第二处理气体的第二处理气体供给系统;
向上述处理区域供给含有含硼气体的第三处理气体的第三处理气体供给系统;
向上述处理区域供给含有碳氢化合物气体的第四处理气体的第四处理气体供给系统;和
控制上述装置动作的控制部,其中,
为了通过CVD在上述被处理基板上形成绝缘膜,上述控制部进行控制,反复进行多次下述循环,叠层在每个上述循环中形成的薄膜,由此形成具有规定厚度的上述绝缘膜,该循环交替地包括:
第一工序,向上述处理区域供给上述第一处理气体、和上述第三与第四处理气体之一的先行气体,另一方面,停止向上述处理区域供给上述第二处理气体、和上述第三与第四处理气体中另一种的后行气体;
第二工序,向上述处理区域供给上述后行气体,另一方面,停止向上述处理区域供给上述第二处理气体和上述先行气体;和
第三工序,向上述处理区域供给上述第二处理气体,另一方面,停止向上述处理区域供给上述第一处理气体。
本发明的第三观点为一种包括用于在处理器上执行的程序指令的计算机可读取的介质,其特征在于,在由处理器执行上述程序指令时,在半导体处理用的成膜装置中,执行下述成膜方法,该成膜方法反复进行多次下述循环,叠层在每个上述循环中形成的薄膜,由此形成具有规定厚度的上述绝缘膜,
所述半导体处理用的成膜装置,在能够有选择地供给含有硅烷系气体的第一处理气体、含有氮化气体或氧氮化气体的第二处理气体、含有含硼气体的第三处理气体和含有碳氢化合物气体的第四处理气体的处理区域内,通过CVD在被处理基板上形成绝缘膜,
所述循环交替地包括:第一工序,向上述处理区域供给上述第一处理气体、和上述第三与第四处理气体之一的先行气体,另一方面,停止向上述处理区域供给上述第二处理气体、和上述第三与第四处理气体中另一种的后行气体;
第二工序,向上述处理区域供给上述后行气体,另一方面,停止向上述处理区域供给上述第二处理气体和上述先行气体;和
第三工序,向上述处理区域供给上述第二处理气体,另一方面,停止向上述处理区域供给上述第一处理气体。
本发明的其他目的和优点将在以下说明中阐明、通过以下说明部分变得明显、或可通过对本发明的实施而获知。本发明的目的和优点可通过以下具体指出的手段及组合而实现和获得。
附图说明
结合在本说明书中且构成其一部分的附图,图解显示了本发明目前的优选实施例,与以上给出的总体说明和以下给出的优选实施例的详细说明一起,用于解释本发明的本质。
图1为表示本发明实施方式的成膜装置(立式CVD装置)的截面图。
图2为表示图1所示的装置的一部分的横截平面图。
图3为表示本发明第一实施方式的成膜方法中供给气体和施加RF(高频)的方式的时间图。
图4为表示本发明第二实施方式的成膜方法中供给气体和施加RF(高频)的方式的时间图。
图5为表示本发明第三实施方式的成膜方法中供给气体和施加RF(高频)的方式的时间图。
图6为表示本发明第四实施方式的成膜方法中供给气体和施加RF(高频)的方式的时间图。
图7为表示本发明比较例的成膜方法(US 2006/205231 A1中公开)中供给气体和施加RF(高频)的方式的时间图。
图8为表示分别与第一、第二和第四实施方式相对应的三个实施例PE1、PE2、PE4以及两个比较例CE1、CE2的薄膜的蚀刻速度的评价结果的曲线图。
图9为表示主控制部结构的示意框图。
具体实施方式
下面,参照附图说明本发明的实施方式。其中,在以下的说明中,具有大致相同功能和结构的构成元件,用相同符号表示,只在必要的情况下进行重复说明。
图1为表示本发明实施方式的成膜装置(立式CVD装置)的截面图。图2为表示图1所示的装置的一部分的横截平面图。该成膜装置2具有能够有选择地供给含有硅烷系气体二氯硅烷(DCS)气体的第一处理气体、含有氮化气体氨(NH3)气的第二处理气体、含有含硼气体BCl3气体的第三处理气体和含有碳氢化合物气体C2H4气体(乙烯气体)的第四处理气体的处理区域。成膜装置2构成为,在该处理区域内,通过CVD在被处理基板上形成含有碳的绝缘膜。
成膜装置2具有下端开口的具有天井的圆筒体状的处理容器4,该处理容器在内部规定收纳并处理以一定间隔叠层的多片半导体晶片(被处理基板)的处理区域5。处理容器4整体例如由石英制成。在处理容器4内的天井部,配置有石英制的天井板6,将其封闭。形成为圆筒体状的总管(manifold)8通过O形环等密封件10与处理容器4的下端开口连接。并且,也可以不另外设置总管8,由圆筒体状的石英制处理容器构成整体。
总管8例如由不锈钢制成,支承处理容器4的下端。石英制的晶舟12通过总管8的下端开口升降。这样,能够使晶舟12相对于处理容器4装载/卸载。在晶舟12上分多级载置有作为被处理基板的多片半导体晶片W。例如,在本实施方式的情况下,在晶舟12的支柱12A上能够以大致相等的间距分多级支承例如50~100片左右直径为300mm的晶片W。
晶舟12隔着石英制的保温筒14载置在工作台16上。工作台16由贯通例如由不锈钢制的盖体18的旋转轴20支承,该盖体18开闭总管8的下端开口。
在旋转轴20的贯通部,设置有例如磁性流体密封件22,气密地密封旋转轴20,并能够转动地进行支承。在盖体18的周边部和总管8的下端部,设置有例如由O形环等构成的密封部件24,保持容器内的密封性。
旋转轴20安装在例如由舟升降机等升降机构25支承的臂26的前端。利用升降机构25,晶舟12和盖体18等一体地升降。另外,将工作台16固定设置在盖体18侧,不使晶舟12旋转,进行晶片W的处理。
在总管8的侧部,连接有用于将规定的处理气体供给至处理容器4内的处理区域5的气体供给部。气体供给部包括第二处理气体供给系统28、第一处理气体供给系统30、第三处理气体供给系统32、第四处理气体供给系统34和吹扫气体供给系统36。第一处理气体供给系统30供给含有作为硅烷系气体的DCS(二氯硅烷)气体的第一处理气体。第二处理气体供给系统28供给含有作为氮化气体的氨(NH3)气的第二处理气体。第三处理气体供给系统32供给含有作为含硼气体(搀杂气体)的BCl3气体的第三处理气体。第四处理气体供给系统34供给含有作为碳氢化合物气体的C2H4气体(乙烯气体)的第四处理气体。吹扫气体供给系统36供给作为吹扫气体的不活泼气体,例如N2气。根据需要,可以在第一~第四处理气体中混合适当量的载气,但是下面为了容易说明,不提及载气。
具体而言,第二、第一、第三和第四处理气体供给系统28、30、32、34分别具有向内侧贯通总管8的侧壁并向上方弯曲延伸的石英管制成的气体分散喷嘴38、40、42、44(参照图1)。在各气体分散喷嘴38~44上,以沿其长度方向(上下方向)并且遍及晶舟12上的全部晶片W的方式,隔开规定的间隔形成有多个气体喷射孔38A、40A、42A、44A。气体喷射孔38A、40A、42A、44A向水平方向大致均匀地分别供给对应的处理气体,以形成与晶舟12上的多片晶片W平行的气体流。另一方面,吹扫气体供给系统36具有贯通总管8的侧壁设置的短的气体喷嘴46。
喷嘴38、40、42、44、46通过气体供给管路(气体通路)48、50、52、54、56,分别与NH3气体、DCS气体、BCl3气体、C2H4气体和N2气的气体源28S、30S、32S、34S、36S连接。在气体供给管路48、50、52、54、56上,配置有开闭阀48A、50A、52A、54A、56A和质量流量控制器等流量控制器48B、50B、52B、54B、56B。由此,能够分别对NH3气体、DCS气体、BCl3气体、C2H4气体和N2气进行流量控制并供给。
在处理容器4的侧壁的一部分,沿着其高度方向配置有气体激发部66。在与气体激发部66相对的处理容器4的相反侧,配置有细长的排气口68,该排气口用于对该内部氛围气体进行真空排气,例如通过向上下方向削去处理容器4的侧壁而形成。
具体而言,气体激发部66具有上下细长的开口70,该开口通过沿着上下方向以规定的宽度削去处理容器4的侧壁而形成。开口70由气密地与处理容器4的外壁焊接接合的石英制的盖72覆盖。盖72以向处理容器4的外侧突出的方式形成截面凹部状,并且具有上下细长的形状。
利用这种结构,形成从处理容器4的侧壁突出、并且一侧向处理容器4内开口的气体激发部66。即,气体激发部66的内部空间与处理容器4内的处理区域5连通。开口70在上下方向足够长,使其能够在高度方向覆盖保持在晶舟12上的全部晶片W。
在盖72两侧壁的外侧面上,配置有细长的一对电极74,使得沿其长度方向(上下方向)彼此相对。等离子体发生用的高频电源76通过供电线路78与电极74连接。通过向电极74施加例如13.56MHz的高频电压,在一对电极74之间形成用于激发等离子体的高频电场。其中,高频电压的频率不限于13.56MHz,也可以使用其他频率例如400kHz等。
第二处理气体的气体分散喷嘴38位于比晶舟12上最下层的晶片W还靠下的位置,向处理容器4的半径方向外侧弯曲。然后,气体分散喷嘴38位于气体激发部66内最内(最离开处理容器4中心的部分)的位置,并垂直立起。如图2所示,气体分散喷嘴38设置在一对相对电极74所夹的区域(高频电场最强的位置),即比主要的等离子体实际发生的等离子体发生区域PS更向外侧偏离的位置。从气体分散喷嘴38的气体喷射孔38A喷射出的含有NH3气体的第二处理气体,向着等离子体发生区域PS喷射,在那里被激发(分解或活化),在该状态下供给至晶舟12上的晶片W。
在盖72的外侧安装有将其覆盖的例如由石英制成的绝缘保护盖80。在绝缘保护盖80的内侧、与电极74相对的部分上,配置有由致冷剂通路构成的冷却机构(未图示)。通过使作为致冷剂的例如冷却后的氮气在致冷剂通路中流动,冷却电极74。其中,为了防止高频泄漏,在绝缘保护盖80的外侧配置有将其覆盖的屏蔽板(未图示)。
在气体激发部66的开口70的外侧附近,即开口70的外侧(处理容器4内)的一侧,垂直立起地配置有第一和第三处理气体的气体分散喷嘴40、42,在另一侧垂直立起地配置有第四处理气体的气体分散喷嘴44。分别从形成于气体分散喷嘴40、42、44上的气体喷射孔40A、42A、44A向处理容器4的中心方向,喷射含有DCS气体的第一处理气体、含有BCl3气体的第三处理气体和含有C2H4气体的第四处理气体。
另一方面,在与气体激发部66相对设置的排气口68上,利用焊接安装有将其覆盖、由石英制成的截面呈“コ”形的排气口盖部件82。排气盖部件82沿着处理容器4的侧壁向上方延伸,在处理容器4的上方形成有气体出口84。配置有真空泵等的真空排气系统GE与气体出口84连接。
以包围处理容器4的方式配置有对处理容器4内的氛围气体和晶片W进行加热的加热器86。在处理容器4内的排气口68附近,配置有用于控制加热器86的热电偶(未图示)。
并且,成膜装置2具有由控制装置整体动作的计算机等构成的主控制部60。主控制部60根据预先存储在其附带的存储部212中的成膜处理的处理方案,例如形成的膜的膜厚或组成,进行后述的成膜处理。在该存储部212中还预先存储有处理气体流量和膜的膜厚或组成的关系作为控制数据。因此,主控制部60根据这些存储的处理方案或控制数据,对升降机构25,气体供给系统28、30、32、34、36,排气系统GE,气体激发部66,加热器86等进行控制。
下面,说明使用图1所示的装置进行的成膜方法(所谓ALD(Atomic Layer Deposition:原子层积淀)成膜)。在该成膜方法中,利用CVD在半导体晶片W上形成由SiBCN(boron doped silicon carbonnitride:搀杂硼的碳氮化硅)构成的绝缘膜。因此,有选择地向收纳有晶片W的处理区域5内供给含有硅烷系气体二氯硅烷(DCS)气体的第一处理气体、含有氮化气体氨(NH3)气体的第二处理气体、含有含硼气体BCl3气体的第三处理气体、和含有碳氢化合物气体C2H4气体(乙烯气体)的第四处理气体。具体而言,采用以下的操作进行成膜处理。
首先,将保持有多片例如50~100片300mm尺寸的晶片W的常温的晶舟12装载在设定为规定温度的处理容器4内,密闭处理容器4。然后,将处理容器8内抽真空,维持在规定的处理压力,并使晶片温度上升,待机直至稳定在成膜用的处理温度。接着,一边使晶舟12旋转,一边分别进行流量控制并间歇地从气体分散喷嘴40、38、42、44供给第一~第四处理气体。
从气体分散喷嘴40、42、44的气体喷射孔40A、42A、44A供给含有DCS气体的第一处理气体、含有BCl3气体的第三处理和含有C2H4气体的第四处理气体,使得形成与晶舟12上的多片晶片W平行的气体流。在此过程中,DCS气体、BCl3气体和C2H4气体的分子或由其分解产生的分解生成物的分子或原子附着在晶片上。
另一方面,从气体分散喷嘴38的气体喷射孔38A供给含有NH3气体的第二处理气体,使得形成与晶舟12上的多片晶片W平行的气体流。第二处理气体在通过一对电极74间的等离子体发生区域PS时有选择地被激发,一部被等离子体化。这时,生成例如N*、NH*、NH2 *、NH3 *等自由基(活性种)(记号“*”表示自由基)。这些自由基从气体激发部66的开口70向处理容器4的中心流出,以层流状态供给至晶片W彼此之间。
上述自由基与附着在晶片W表面的DCS气体、C2H4气体的分子等反应,由此在晶片W上形成薄膜。并且,此时由BCl3气体分解产生的B原子进入薄膜中,形成含有作为杂质的硼的SiBCN膜。并且,与此相反,在晶片W表面上附着有自由基的部位,在DCS气体、BCl3气体和C2H4气体流动的情况下,也发生同样的反应,在晶片W上形成SiBCN膜。
<第一实施方式>
图3为表示本发明第一实施方式的成膜方法中供给气体和施加RF(高频)的方式的时间图。如图3所示,在本实施方式的成膜方法中,交替反复进行第一~第五工序T1~T5。即,反复进行多次由第一~第五工序T1~T5构成的循环,通过叠层在每个循环中形成的SiBCN薄膜,得到最终厚度的SiBCN膜。
具体而言,在第一工序T1中,向处理区域5供给第一处理气体(在图3中表示为DCS)和第三处理气体(在图3中表示为BCl3),另一方面停止向处理区域5供给第二处理气体(在图3中表示为NH3)和第四处理气体(在图3中表示为C2H4)。在第二工序T2中,向处理区域5供给第四处理气体,另一方面,停止向处理区域5供给第一、第二和第三处理气体。在第三工序T3中,停止向处理区域5供给第一、第二、第三和第四处理气体。在第四工序T4中,向处理区域5供给第二处理气体,另一方面,停止向处理区域5供给第一、第三和第四处理气体。并且,在第四工序T4中,从途中接通RF电源76,利用气体激发部66使第二处理气体等离子体化,只在子工序T4b时,在第二处理气体被激发的状态下,向处理区域5供给。在第五工序T5中,停止向处理区域5供给第一、第二、第三和第四处理气体。
在第四工序T4中,在经过规定的时间Δt后,接通RF电源76,利用气体激发部66使第二处理气体等离子体化,只在子工序T4b时,在第二处理气体被激发的状态下,向处理区域5供给。所谓该规定的时间Δt,为直至NH3气体的流量稳定的时间,例如为5秒左右。但是,也可以在第二处理气体供给期间的全部期间中,利用气体激发部66使第二处理气体等离子体化。这样,在第二处理气体的流量稳定后,接通RF电源,产生等离子体,由此能够提高晶片W的面间方向(高度方向)的活性种的浓度均匀性。
第三和第五工序T3、T5用作排除残留在处理容器4内的气体的吹扫工序。这里,所谓吹扫意味着,通过一边使N2气等不活泼气体流动一边对处理容器4内进行真空排气,或停止全部气体的供给、对处理容器4内进行真空排气,除去处理容器4内的残留气体。另外,也可以在第三和第五工序T3、T5的前半只进行真空排气,后半合并进行真空排气和供给不活泼气体。其中,在第一、第二和第四工序T1、T2、T4中,当供给第一~第四处理气体时,可以停止处理容器4内的真空排气。但是,在一边对处理容器4内进行真空排气一边供给第一~第四处理气体的情况下,可在全部第一~第五工序T1~T5中,继续进行处理容器4内的真空排气。
在图3中,设定第一工序T1约为1~20秒,例如约10秒;第二工序T2约为1~20秒,例如约10秒;第三工序T3约为5~15秒,例如约10秒;第四工序T4约为1~30秒,例如约20秒;子工序T4b约为1~25秒,例如约10秒;第五工序T5约为5~15秒,例如约10秒。另外,通常由第一~第五工序T1~T5的1个循环形成的膜厚为0.11~0.13nm左右。因此,如果目标膜厚例如为70nm,则要反复进行600个左右的这种循环。但是,这些时间和厚度只不过仅为一个示例,不限于该数值。
如上所述,一起供给第一和第三处理气体的工序T1和单独供给第四处理气体的工序T2,以及单独供给含有NH3气体的第二处理气体并包括由等离子体将其激发的期间的工序T4,可夹着吹扫工序T3、T5交替进行。这样,能够使形成的SiBCN膜的介电常数非常低,并且能够大幅度提高干式蚀刻时的蚀刻耐性。其理由考虑如下。即,通常如果向氮化硅(SiN)膜中添加硼,蚀刻耐性就会变差。但是,如第一实施方式,如果在供给第二处理气体时由等离子体将其激发,由于产生含有N的自由基(活性种),能够促进膜的氮化。结果,膜中的Si-H键减少,蚀刻耐性强的Si-N键增加。这样,能够大幅度提高膜的蚀刻耐性。
另外,如上所述,当形成膜时,通过向处理容器8内供给作为碳氢化合物气体的例如C2H4气体,形成在氮化硅膜中含有碳成分的状态。由此,尽管在比现有的成膜温度例如760℃左右低的温度例如550℃下成膜,也能够减小对膜表面清洁处理时或蚀刻处理时使用的稀氟酸的蚀刻速度。结果,能够防止清洁处理时膜被过度削去,提高其膜厚的控制性。另外,该膜也可以充分地发挥作为蚀刻阻挡膜或层间绝缘膜的功能。
此外,如上所述,在供给处理气体的第一和第二工序以及第四工序之间,停止处理气体的第三和第五工序T3、T5发挥着使膜改性的期间的作用。在该期间以前成膜的SiBCN膜的表面在该期间中发生改性,膜质得到提高。由此,能够进一步抑制SiBCN膜的蚀刻速度。该改性处理时的原子水平的作用考虑如下。即,当形成含有碳原子的SiBCN膜时,DCS气体中堆积时无法脱离的Cl原子在活化状态下与该薄膜的最表面结合。在停止供给DCS气体的工序T3、T5中,C2H4气体或NH3气体中的C原子或N原子与上述薄膜最表面的Cl原子置换,膜中的Cl成分减少,结果蚀刻速度降低。
特别是在使用C2H4气体的情况下,由于进入膜中的C原子的量增加,能够进一步抑制蚀刻速度。另外,如果在氮化硼膜中添加碳,与不添加的情况相比,成膜速度提高20~30%左右。其理由为,通过添加碳,能够促进硼对晶片表面的吸附。
上述成膜处理的处理条件如下所述。DCS气体的流量在50~2000sccm的范围内,例如为1000sccm(1slm)。NH3气体的流量在500~5000sccm的范围内,例如为1000sccm。BCl3气体的流量在1~15sccm的范围内,例如为4sccm。C2H4气体的流量在200~2000sccm的范围内,例如500为sccm。C2H4气体的流量为DCS气体流量的3倍以下。其理由为,当作为碳氢化合物气体的C2H4气体的流量过度多时,就会产生膜质急剧降低的问题。
处理温度为低于通常的CVD处理的温度,具体而言,在300~700℃的范围内,优选在550~630℃的范围内。当处理温度低于300℃时,不产生反应,几乎不存在膜的堆积。当处理温度高于700℃时,形成由CVD形成的膜质差的堆积膜,并且对已形成的金属膜等带来热损伤。
处理压力在13Pa(0.1Torr)~1330Pa(10Torr)的范围内,优选在40Pa(0.3Torr)~266Pa(2Torr)的范围内。例如,处理压力在第一和第二工序T1、T2(吸附工序)中为1Torr,在第四工序(使用等离子体的氮化工序)T4中为0.3Torr。在处理压力小于13Pa的情况下,成膜速度为实用水平以下。在处理压力为1330Pa以下时,由于对晶片W的反应的主流为吸附反应,能够以高成膜速度,稳定地堆积膜质良好的薄膜,得到良好的结果。但是当处理压力大于1330Pa时,反应形式从吸附反应向气相反应移动,气相反应成为主流。结果,不但膜的面间和面内均匀性降低,并且由气相反应引起的颗粒急剧增大,因而不优选。
<第二实施方式>
图4为表示本发明第二实施方式的成膜方法中供给气体和施加RF(高频)的方式的时间图。如图4所示,在本实施方式的成膜方法中,交替反复进行第一~第五工序T11~T15。即,反复进行多次由第一~第五工序T11~T15构成的循环,通过叠层在每个循环中形成的SiBCN薄膜,得到最终厚度的SiBCN膜。
具体而言,在第二实施方式中,设定第一、第三、第四和第五工序T11、T13、T14、T15具有与第一实施方式的第一、第三、第四和第五工序T1、T3、T4、T5完全相同的构成。但是,第二工序T12与第一实施方式的第二工序T2不同,向处理区域5供给第一处理气体(在图4中表示为DCS)和第四处理气体(在图4中表示为C2H4),另一方面停止向处理区域5供给第二处理气体(在图4中表示为NH3)和第三处理气体(在图4中表示为BCl3)。但是,该第二实施方式的处理条件与上述第一实施方式相同。
如第二实施方式,在同时供给C2H4气体和DCS气体时,C2H4气体的碳与Si混合,形成吸附在晶片表面的状态。这样,由于Si与碳结合,在供给第二处理气体进行氮化的第四工序T14中,能够抑制碳的脱离。另外,在第二实施方式中,由于形成在碳化硅膜中含有碳成分的状态,能够得到与第一实施方式同样的效果。即,尽管在低于现有成膜温度例如760℃左右的温度例如550℃下成膜,也能够减小对该膜表面的清洁处理时或蚀刻处理时使用的稀氟酸的蚀刻速度。结果,能够防止清洁处理时膜被过度削去,提高其膜厚的控制性。另外,该膜也可以充分地发挥作为蚀刻阻挡膜或层间绝缘膜的功能。
<第三实施方式>
图5为表示本发明第三实施方式的成膜方法中供给气体和施加RF(高频)的方式的时间图。如图5所示,在该实施方式的成膜方法中,交替反复进行第一~第五工序T21~T25。即,反复进行多次由第一~第五工序T21~T25构成的循环,通过叠层在每个循环中形成的SiBCN薄膜,得到最终厚度的SiBCN膜。
具体而言,在第三实施方式中,设定第三、第四和第五工序T23、T24、T25具有与第一实施方式的第三、第四和第五工序T3、T4、T5完全相同的构成。但是第一和第二工序T21、T22与第一实施方式的第一和第二工序T1、T2相比,第三处理气体(在图5中表示为BCl3)和第四处理气体(在图5中表示为C2H4)的供给顺序相反。即,在第一工序T21中,向处理区域5供给第一处理气体(在图5中表示为DCS)和第四处理气体,另一方面,停止向处理区域5供给第二处理气体(在图5中表示为NH3)和第三处理气体。在第二工序T22中,向处理区域5供给第三处理气体,另一方面,停止向处理区域5供给第一、第二和第四处理气体。但是,第三实施方式的处理条件与上述第一实施方式相同。
在第三实施方式中,由于形成在氮化硅膜中含有碳成分的状态,能够得到与第一实施方式同样的效果。即,尽管在低于现有成膜温度例如760℃左右的温度例如550℃下成膜,也能够减小对该膜表面的清洁处理时或蚀刻处理时使用的稀氟酸的蚀刻速度。结果,能够防止清洁处理时膜被过度削去,提高其膜厚的控制性。另外,该膜也可以充分地发挥作为蚀刻阻挡膜或层间绝缘膜的功能。
<第四实施方式>
图6为表示本发明第四实施方式的成膜方法中供给气体和施加RF(高频)的方式的时间图。如图6所示,在本实施方式的成膜方法中,交替反复进行第一~第五工序T31~T35。即,反复进行多次由第一~第五工序T31~T35构成的循环,通过叠层在每个循环中形成的SiBCN薄膜,得到最终厚度的SiBCN膜。
具体而言,在第四实施例中,设定第一、第三、第四和第五工序T31、T33、T34、T35具有与第三实施方式的第一、第三、第四和第五工序T21、T23、T24、T25完全相同的构成。但是,第二工序T32与第三实施方式的第二工序T22不同,向处理区域5供给第一处理气体(在图6中表示为DCS)和第三处理气体(在图6中表示为BCl3),另一方面,停止向处理区域5供给第二处理气体(在图6中表示为NH3)和第四处理气体(在图4中表示为C2H4)。但是,该第四实施方式的处理条件与上述第一实施方式相同。
如第四实施方式,在第一工序T31中同时供给C2H4气体和DCS气体、在第二工序T32中同时供给BCl3气体和DCS气体的情况下,在叠层的各膜中,可以在下层形成Si/C层,在上层形成SiB层。在这种情况下,与第二实施方式相比,在供给第二处理气体进行氮化的第四工序T34中,能够进一步抑制碳的脱离。另外,在第四实施方式中,由于形成在氮化硅膜中含有碳成分的状态,能够得到与第一实施方式同样的效果。即,尽管在低于现有成膜温度例如760℃左右的温度例如550℃下成膜,也能够减小对该膜表面的清洁处理时或蚀刻处理时使用的稀氟酸的蚀刻速度。结果,能够防止清洁处理时膜被过度削去,提高其膜厚的控制性。另外,该膜也可以充分地发挥作为蚀刻阻挡膜或层间绝缘膜的功能。
<实验1>
使用图1所示的装置,采用第一、第二和第四实施方式的成膜方法,形成SiBCN膜,对其进行评价。另外,为了比较,采用US2006/205231 A1所公开的成膜方法,形成SiBCN膜,并采用现有的成膜方法形成SiN膜,对其进行评价。该实验中的成膜处理的处理条件基准如上所述,成膜温度为550℃,使用1%的稀释氢氟酸水溶液作为蚀刻液。
图7为表示本发明比较例的成膜方法(US 2006/205231 A1所公开)中供给气体和施加RF(高频)的方式的时间图。在这种情况下,一起供给第一处理气体(在图7中表示为DCS)、第三处理气体(在图7中表示为BCl3)和第四处理气体(在图7中表示为C2H4)的工序,以及单独供给第二处理气体(在图7中表示为NH3)并包括用等离子体将其激发的期间的工序,夹着吹扫工程交替进行。
图8为表示分别与第一、第二和第四实施方式相对应的三个实施例PE1、PE2、PE4、US 2006/205231 A1的方法的比较例CE1、和现有方法的比较例CE2的薄膜的蚀刻速度的评价结果的曲线图。比较例CE2(SiN膜(不含碳))的蚀刻速度为0.499nm/min,而由现有方法形成的不含碳的SiBN膜的蚀刻速度高达1.7nm/min左右(图8中未示出)。与此相对,比较例CE1(SiBCN膜)的蚀刻速度为0.580nm/min,由于含有碳,蚀刻速度降低。三个实施例PE1、PE2、PE4(SiBCN膜)的蚀刻速度分别为0.491nm/min、0.438nm/min、0.373nm/min,为比比较例CE1(SiBCN膜)的0.580nm/min和比较例CE2(SiN膜(不含碳))的0.499nm/min更低的值。
因此,第一、第二和第四实施方式的三个实施例PE1、PE2、PE4关于蚀刻速度比US 2006/205231 A1方法的比较例CE1优异的理由如下。即,当供给含有氨(NH3)气的第二处理气体进行晶片W上吸附成分的氮化时,碳从晶片上脱离。但是,如果采用上述实施方式的结构,能够抑制此时解离的碳量,因此,能够提高形成的膜中的碳浓度。
<第一~第四实施例的共同事项>
如上所述,第一~第四实施方式的方法,根据处理程序,在主控制部60的控制下进行。图9为表示主控制部60结构的示意框图。主控制部60具有CPU210,存储部212、输入部214、输出部216等与其连接。在存储部212中存储有处理程序或处理方案。输入部214包括用于与使用者对话的输入装置例如键盘或指示设备、和存储介质的驱动器等。输出部216输出用于控制处理装置的各机器的控制信号。图9还合并表示能够在计算机上装卸的存储介质218。
上述实施方式的方法,作为在处理器上执行的程序指令,写入能够由计算机读取的存储媒体,适用于各种半导体处理装置。或者,这种程序指令利用通信介质传送,适用于各种半导体处理装置。存储介质例如为磁盘(软盘、硬盘(一个示例为存储部212中包含的硬盘)等)、光盘(CD、DVD等)、磁光盘(MO等)、半导体存储器等。控制半导体处理装置动作的计算机,通过读入存储在存储介质中的程序指令,在处理器上执行,实行上述方法。
在上述实施方式中,作为成膜装置2,列举了将形成等离子体的激发部66与处理容器4组装成一体的结构。取而代之,也可以与处理容器4分开设置激发部66,在处理容器4外预先激发NH3气体(所谓远程等离子体),向处理容器4内供给激发的NH3气体。另外,也可以不使NH3气体活化进行供给,但在这种情况下,为了补偿由于不使用等离子体而引起的能量降低,需要稍微提高处理温度。
在上述实施方式中,作为第一处理气体中的硅烷系气体,列举出DCS气体。关于这点,作为硅烷系气体,可以使用选自二氯硅烷(DCS)、六氯乙硅烷(HCD)、甲硅烷(SiH4)、乙硅烷(Si2H6)、六甲基二硅氮烷(HMDS)、四氯硅烷(TCS)、二硅烷基胺(DSA)、三硅烷基胺(TSA)、二叔丁基氨基硅烷(BTBAS)中的一种以上的气体。
在上述实施方式中,作为第二处理气体中的氮化气体,可以使用NH3气、N2气。另外,在将本发明用于形成硅氧氮化物系膜的情况下,可以使用一氧化二氮(N2O)、一氧化氮(NO)等氧氮化气体代替氮化气体。在这种情况下,形成的膜为含有氧(O)的硅氧氮化物系膜。
在上述实施方式中,作为第三处理气体中的含硼气体,列举出BCl3气体。关于这点,作为含硼气体,可以含有选自BCl3、B2H6、BF3、B(CH3)3中的一种以上的气体。
在上述实施方式中,作为第四处理气体中的碳氢化合物气体,列举出乙烯气体。关于这点,作为碳氢化合物气体,可以使用选自乙炔、乙烯、甲烷、乙烷、丙烷、丁烷中的一种或两种以上的气体。
作为被处理基板,不限于半导体晶片,也可以为LCD基板、玻璃基板等其他基板。
其他优点和改型对于本领域技术人员将是显而易见的。因此,本发明的更广泛的实施方式不局限于在此显示和说明的具体细节和代表性的实施例。因此,可进行不同的改型,而不脱离由所附权利要求及其等效物所确定的总体发明构思的实质和范围。

Claims (20)

1.一种半导体处理用的成膜方法,其特征在于,在能够有选择地供给含有硅烷系气体的第一处理气体、含有氮化气体或氧氮化气体的第二处理气体、含有含硼气体的第三处理气体和含有碳氢化合物气体的第四处理气体的处理区域内,通过CVD在被处理基板上形成绝缘膜,
反复进行多次下述循环,叠层在每个所述循环中形成的薄膜,由此形成具有规定厚度的所述绝缘膜,该循环交替地包括:
第一工序,向所述处理区域供给所述第一处理气体、和所述第三与第四处理气体之一的先行气体,另一方面,停止向所述处理区域供给所述第二处理气体、和所述第三与第四处理气体中另一种的后行气体;
第二工序,向所述处理区域供给所述后行气体,另一方面,停止向所述处理区域供给所述第二处理气体和所述先行气体;和
第三工序,向所述处理区域供给所述第二处理气体,另一方面,停止向所述处理区域供给所述第一处理气体。
2.如权利要求1所述的方法,其特征在于,所述第三工序停止向所述处理区域供给所述第三和第四处理气体。
3.如权利要求1所述的方法,其特征在于,所述第二工序停止向所述处理区域供给所述第一处理气体。
4.如权利要求1所述的方法,其特征在于,所述第二工程向所述处理区域供给所述第一处理气体。
5.如权利要求1所述的方法,其特征在于,所述第一和第二工序连续进行,停止供给所述先行气体和开始供给所述后行气体实质上一致。
6.如权利要求1所述的方法,其特征在于,所述各循环还包括,在所述第二和第三工序之间,停止向所述处理区域供给第一、第二、第三和第四处理气体,并对所述处理区域进行排气的插入工序。
7.如权利要求6所述的方法,其特征在于,所述各循环还包括,在所述第三工序和随后循环的所述第一工序之间,停止向所述处理区域供给第一、第二、第三和第四处理气体,并对所述处理区域进行排气的另一个插入工序。
8.如权利要求7所述的方法,其特征在于,所述各循环构成为,在所述第一工序、所述第二工序、所述插入工序、所述第三工序和所述另一个插入工序的全部工序中,继续对所述处理区域进行排气。
9.如权利要求7所述的方法,其特征在于,所述插入工序和所述另一个插入工序包括向所述处理区域供给吹扫气体的期间。
10.如权利要求1所述的方法,其特征在于,所述第三工序包括,在所述第二处理气体被激发机构激发的状态下,将其供给至所述处理区域的激发期间。
11.如权利要求10所述的方法,其特征在于,所述第三工序还包括,在所述激发期间前,在所述第二处理气体不被所述激发机构激发的状态下,将其供给至所述处理区域的期间。
12.如权利要求1所述的方法,其特征在于,在所述第一、第二和第三工序中,所述处理区域的温度设定在300℃~700℃的范围内。
13.如权利要求1所述的方法,其特征在于,在所述第一、第二和第三工序中,所述处理区域的压力设定在13Pa(0.1Torr)~13300Pa(100Torr)的范围内。
14.如权利要求1所述的方法,其特征在于,所述第一处理气体含有选自二氯硅烷、六氯乙硅烷、甲硅烷、乙硅烷、六甲基二硅氮烷、四氯硅烷、二硅烷基胺、三硅烷基胺、二叔丁基氨基硅烷中的一种以上的气体,所述第二处理气体含有选自氨、氮、一氧化二氮、一氧化氮中的一种以上的气体。
15.如权利要求14所述的方法,其特征在于,所述第三处理气体含有选自BCl3、B2H6、BF3、B(CH3)3中的一种以上的气体。
16.如权利要求14所述的方法,其特征在于,所述第四处理气体含有选自乙炔、乙烯、甲烷、乙烷、丙烷、丁烷中的一种以上的气体。
17.如权利要求1所述的方法,其特征在于,所述处理区域构成为,在支承部件上,以彼此隔开间隔在垂直方向层叠的状态收容多片被处理基板。
18.一种半导体处理用的成膜装置,其特征在于,包括:
具有收纳被处理基板的处理区域的处理容器;
在所述处理区域内支承所述被处理基板的支承部件;
对所述处理区域内的所述被处理基板进行加热的加热器;
对所述处理区域内进行排气的排气系统;
向所述处理区域供给含有硅烷系气体的第一处理气体的第一处理气体供给系统;
向所述处理区域供给含有氮化气体或氧氮化气体的第二处理气体的第二处理气体供给系统;
向所述处理区域供给含有含硼气体的第三处理气体的第三处理气体供给系统;
向所述处理区域供给含有碳氢化合物气体的第四处理气体的第四处理气体供给系统;和
控制所述装置动作的控制部,其中,
为了通过CVD在所述被处理基板上形成绝缘膜,所述控制部进行控制,反复进行多次下述循环,叠层在每个所述循环中形成的薄膜,由此形成具有规定厚度的所述绝缘膜,该循环交替地包括:
第一工序,向所述处理区域供给所述第一处理气体、和所述第三与第四处理气体之一的先行气体,另一方面,停止向所述处理区域供给所述第二处理气体、和所述第三与第四处理气体中另一种的后行气体;
第二工序,向所述处理区域供给所述后行气体,另一方面,停止向所述处理区域供给所述第二处理气体和所述先行气体;和
第三工序,向所述处理区域供给所述第二处理气体,另一方面,停止向所述处理区域供给所述第一处理气体。
19.如权利要求18所述的装置,其特征在于,所述装置还包括,配置在与所述处理区域连通的空间内、具有等离子体发生区域的激发机构,
所述第三工序包括,在所述第二处理气体被所述激发机构激发的状态下,将其供给至所述处理区域的激发期间。
20.一种包括用于在处理器上执行的程序指令的计算机可读取的介质,其特征在于,在由处理器执行所述程序指令时,在半导体处理用的成膜装置中,执行下述成膜方法,该成膜方法反复进行多次下述循环,叠层在每个所述循环中形成的薄膜,由此形成具有规定厚度的所述绝缘膜,
所述半导体处理用的成膜装置,在能够有选择地供给含有硅烷系气体的第一处理气体、含有氮化气体或氧氮化气体的第二处理气体、含有含硼气体的第三处理气体和含有碳氢化合物气体的第四处理气体的处理区域内,通过CVD在被处理基板上形成绝缘膜,
所述循环交替地包括:第一工序,向所述处理区域供给所述第一处理气体、和所述第三与第四处理气体之一的先行气体,另一方面,停止向所述处理区域供给所述第二处理气体、和所述第三与第四处理气体中另一种的后行气体;
第二工序,向所述处理区域供给所述后行气体,另一方面,停止向所述处理区域供给所述第二处理气体和所述先行气体;和
第三工序,向所述处理区域供给所述第二处理气体,另一方面,停止向所述处理区域供给所述第一处理气体。
CN200710147867XA 2006-09-01 2007-08-31 半导体处理用的成膜方法和装置 Active CN101135046B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2006237558 2006-09-01
JP2006-237558 2006-09-01
JP2006237558A JP4929932B2 (ja) 2006-09-01 2006-09-01 成膜方法、成膜装置及び記憶媒体

Publications (2)

Publication Number Publication Date
CN101135046A true CN101135046A (zh) 2008-03-05
CN101135046B CN101135046B (zh) 2011-12-21

Family

ID=39159370

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200710147867XA Active CN101135046B (zh) 2006-09-01 2007-08-31 半导体处理用的成膜方法和装置

Country Status (5)

Country Link
US (1) US7964241B2 (zh)
JP (1) JP4929932B2 (zh)
KR (1) KR101140069B1 (zh)
CN (1) CN101135046B (zh)
TW (1) TWI518780B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102646617A (zh) * 2011-02-18 2012-08-22 株式会社日立国际电气 衬底处理装置和方法以及半导体器件制造方法
CN102738042A (zh) * 2011-04-11 2012-10-17 株式会社日立国际电气 衬底处理设备、控制该设备的程序及制造半导体器件的方法
CN102017083B (zh) * 2008-05-02 2013-04-03 分子间公司 组合的等离子体增强的沉积技术
CN103632955A (zh) * 2008-06-02 2014-03-12 气体产品与化学公司 含硅薄膜的低温沉积
CN108475624A (zh) * 2016-02-29 2018-08-31 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置以及记录介质

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
JP5703354B2 (ja) * 2008-11-26 2015-04-15 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5490585B2 (ja) 2009-05-29 2014-05-14 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
KR101226876B1 (ko) * 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
JP5573772B2 (ja) * 2010-06-22 2014-08-20 東京エレクトロン株式会社 成膜方法及び成膜装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
KR101975071B1 (ko) * 2011-09-23 2019-05-03 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
JP6129573B2 (ja) 2013-02-13 2017-05-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
JP6291297B2 (ja) 2014-03-17 2018-03-14 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
JP6523186B2 (ja) * 2016-02-01 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6175541B2 (ja) * 2016-06-03 2017-08-02 東京エレクトロン株式会社 シード層の形成方法、シリコン膜の成膜方法および成膜装置
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US9892961B1 (en) * 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
JP6857503B2 (ja) * 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0293071A (ja) * 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0645256A (ja) * 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
JP3529989B2 (ja) 1997-09-12 2004-05-24 株式会社東芝 成膜方法及び半導体装置の製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
KR100407542B1 (ko) * 1999-03-09 2003-11-28 동경 엘렉트론 주식회사 반도체 장치 및 그 제조 방법
US7125812B2 (en) 2002-01-15 2006-10-24 Tokyo Electron Limited CVD method and device for forming silicon-containing insulation film
JP3915697B2 (ja) * 2002-01-15 2007-05-16 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102017083B (zh) * 2008-05-02 2013-04-03 分子间公司 组合的等离子体增强的沉积技术
CN103632955A (zh) * 2008-06-02 2014-03-12 气体产品与化学公司 含硅薄膜的低温沉积
CN102646617A (zh) * 2011-02-18 2012-08-22 株式会社日立国际电气 衬底处理装置和方法以及半导体器件制造方法
CN102738042A (zh) * 2011-04-11 2012-10-17 株式会社日立国际电气 衬底处理设备、控制该设备的程序及制造半导体器件的方法
CN102738042B (zh) * 2011-04-11 2016-01-27 株式会社日立国际电气 衬底处理设备、控制该设备的程序及制造半导体器件的方法
CN108475624A (zh) * 2016-02-29 2018-08-31 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置以及记录介质
CN108475624B (zh) * 2016-02-29 2023-10-20 株式会社国际电气 半导体器件的制造方法、衬底处理装置以及记录介质

Also Published As

Publication number Publication date
TWI518780B (zh) 2016-01-21
TW200832551A (en) 2008-08-01
KR20080020963A (ko) 2008-03-06
CN101135046B (zh) 2011-12-21
KR101140069B1 (ko) 2012-04-30
US20080063791A1 (en) 2008-03-13
US7964241B2 (en) 2011-06-21
JP2008060455A (ja) 2008-03-13
JP4929932B2 (ja) 2012-05-09

Similar Documents

Publication Publication Date Title
CN101135046B (zh) 半导体处理用的成膜方法和装置
CN101005029B (zh) 半导体处理用成膜方法和装置
CN1831192B (zh) 半导体处理用成膜方法和成膜装置
CN100554506C (zh) 半导体处理用的成膜方法及装置
KR100935257B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체
KR101086588B1 (ko) 반도체 처리용의 성막 방법 및 장치와, 컴퓨터에서 판독가능한 매체
CN101252087B (zh) SiCN膜形成方法及形成装置
CN101481794B (zh) 半导体处理的成膜方法和装置
CN100426474C (zh) 半导体工艺的成膜方法和装置
KR100954243B1 (ko) 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체
CN1908228B (zh) 形成含硅的绝缘膜的方法和装置
CN101488452B (zh) 半导体处理用的成膜方法和装置
KR101503725B1 (ko) 성막 방법 및 성막 장치
CN101713067A (zh) 成膜方法及成膜装置
CN100594588C (zh) 氮化硅膜形成方法及装置
CN101381861B (zh) 成膜方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant